Đăng ký Đăng nhập
Trang chủ Báo cáo thực tập thiết kế vi mạch trên fpga...

Tài liệu Báo cáo thực tập thiết kế vi mạch trên fpga

.PDF
64
239
122

Mô tả:

BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI KHOA : ĐIỆN TỬ THIẾT KẾ VI MẠCH TRÊN FPGA Giáo viên hướng dẫn: Tống Văn Luyên Sinh viên thực tập: Nguyễn Thanh Tùng Lớp: ĐH CNKT DT6- K6 Hà Nội 03/03/2015 Hà Nội University of Industry Faculty of Electronics MỤC LỤC MỤC LỤC ...................................................................................................................2 Danh mục hình ............................................................................................................5 LỜI GIỚI THIỆU ........................................................................................................7 CHƯƠNG 1: TỔNG QUAN VỀ CÁC PHƯƠNG PHÁP THIẾT KẾ VI MẠCH .....8 1.1. Các phương pháp thiết kế tiền HDL ...................................................................8 1.1.1. Phương pháp thiết kế dùng hàm logic ...........................................................8 1.1.2. Phương pháp thiết kế dựa trên sơ đồ nguyên lí .............................................8 1.1.3. Ưu điểm, nhược diểm của các phương pháp thiết kế truyền thống ...............9 1.2. Phương pháp thiết kế dùng HDL ......................................................................10 CHƯƠNG 2: TỔNG QUAN VỀ VHDL ..................................................................12 2.1. Giới thiệu về VHDL ..........................................................................................12 2.2. Cấu trúc cơ bản của VHDL ...............................................................................13 2.2.1. Khai báo Library ..........................................................................................14 2.2.2. Khai báo Entity ............................................................................................15 2.2.3. Các kiểu kiến trúc (Achitecture) ..................................................................16 2.3. Các kiểu dữ liệu ................................................................................................20 2.3.1. Các kiểu con (Subtypes). .............................................................................20 2.3.2. Mảng ............................................................................................................20 2.3.3. Kiểu bản ghi (Records) ................................................................................22 2.3.4. Kiểu dữ liệu có dấu và không dấu ( Signed and Unsigned).........................23 2.3.5. Chuyển đổi dữ liệu .......................................................................................23 2.4. Toán tử và thuộc tính ........................................................................................24 2.4.1. Toán tử .........................................................................................................24 2.4.2. Thuộc tính ....................................................................................................24 2.4.3. Thuộc tính được định nghĩa bởi người dùng. ..............................................25 2 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry 2.4.4. Faculty of Electronics GENERIC. ...................................................................................................25 2.5. Mã song song ....................................................................................................26 2.5.1. Song song và tuần tự. ...................................................................................26 2.5.2. Mệnh đề WHEN...........................................................................................27 2.5.3. GENERATE.................................................................................................28 2.6. Mã tuần tự .........................................................................................................29 2.6.1. PROCESS. ...................................................................................................29 2.6.2. Signals và Variables. ....................................................................................30 2.7. Signal và Variable .............................................................................................30 2.7.1. CONSTANT. ...............................................................................................30 2.7.2. SIGNAL. ......................................................................................................31 2.7.3. VARIABLE. ................................................................................................32 2.8. Máy trạng thái ...................................................................................................32 2.8.1. Giới thiệu. ....................................................................................................33 2.8.2. Thiết kế theo mô hình máy moore ...............................................................34 CHƯƠNG 3: TỔNG QUAN VỀ FPGA ...................................................................42 3.1.Giới thiệu FPGA .................................................................................................42 3.2.Cấu trúc một FPGA .............................................................................................43 3.3.Giới thiệu chip SPARTAN-3E............................................................................43 3.3.1. Kiến trúc họ XILINX SPARTAN-3E FPGA...............................................43 3.3.2. Kiến trúc của Xilinx Spartan-3E FPGA.......................................................44 3.3.3. Cách đọc tham số trên chip ..........................................................................45 CHƯƠNG 4: Thiết kế vi mạch trên FPGA ...............................................................46 4.1. Quy trình thiết kế FPGA tổng quát ...................................................................46 4.1.1. Mô tả ban đầu về thiết kế .............................................................................46 4.1.2. Thực thi ........................................................................................................47 3 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry 4.1.3. Faculty of Electronics Quá trình nạp (download) và lập trình (program) ........................................49 4.2. Các vi mạch ứng dụng.......................................................................................49 4.2.1. Kiểm tra chuỗi chẵn lẻ ....................................................................................49 4.2.2. Đếm thuận nghịch Kd=128, hiển thị trên 8 led đơn........................................50 4.2.3. Đèn giao thông ................................................................................................53 Phụ lục 1: ...................................................................................................................58 Phụ lục 2: ...................................................................................................................63 Tài liệu tham khảo:....................................................................................................64 4 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics Danh mục hình Hình 1.1 Phương pháp thiết kế dùng hàm logic ..........................................................8 Hình 1.2. Thiết kế mạch dựa trên sơ đồ nguyên lí ......................................................9 Hình 1.3 Các bước thiết kế của phương pháp thiết kế truyền thống..........................9 Hình 1.4. Các bước thiết kế dùng HDL ....................................................................11 Hình 2.1: Các thành phần cơ bản của một đoạn mã VHDL .....................................14 Hình 2.2: Các phần cơ bản của một Library .............................................................15 Hình 2.3. Các chế độ tín hiệu& Hình 2.4. Cổng NAND ...........................................16 Hình 2.5. Sơ đồ của trigo RS ...................................................................................18 Hình 2.6. Minh họa scalar (a), 1D (b), 1Dx1D (c), và 2D (d) ..................................21 Hình 2.7. Mạch tổ hợp và mạch dãy .........................................................................26 Hình 2.8. DFF với tín hiệu reset không đồng bộ ......................................................29 Hình 2.9. Sơ đồ máy trạng thái .................................................................................33 Hình 2.10. Sơ đồ trạng thái của bộ đếm BCD ..........................................................38 Hình 2.11. Mô phỏng bộ đếm BCD ..........................................................................41 Hình 3.1. Cấu trúc tổng thế một FPGA.....................................................................43 Hình 3.2. Họ Spartan-3 FPGA .................................................................................44 Hình 3.3. Kiến trúc Spartan-3E FPGA .....................................................................44 Hình 3.4. Phương pháp đọc các ký hiệu trên chip FPGA .........................................45 Hình 4.1. Quy trình thiết kế FPGA ..........................................................................46 Hình 4.2. Sơ đồ gán chân ..........................................................................................48 Hình 4.3. Sơ đồ không gian gán bên trong FPGA ...................................................48 Hình 4.4. Sơ đồ định tuyến ......................................................................................48 Hình 4.5. Sơ đồ khối của bộ kiểm tra chẵn lẻ ...........................................................50 HÌnh 4.6. Sơ đồ khối của bộ đếm Kd=128 ...............................................................53 Hình 4.7. Mô phỏng của bộ đếm Kd=128.................................................................53 HÌnh 4.9. Mô phỏng của bộ đèn giao thông..............................................................57 Hình 5.1. Tạo Project mới .........................................................................................58 Hình 5.2. Chọn thông số cho FPGA .........................................................................59 Hình 5.3. Tạo tên cho mã VHDL ..............................................................................59 Hình 5.4. Tạo Symbol từ mã VHDl ..........................................................................59 5 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics Hình 5.4. Vẽ mạch ....................................................................................................60 Hình 5.5. Chuẩn bị cho việc tạo các kết nối .............................................................60 Hình 5.6. Tạo kết nối chân cho FPGA ......................................................................61 Hình 5.7. Kiểm tra, đi dây, tạo file.bit ......................................................................61 Hình 5.8. Nạp chương trình cho FPGA ....................................................................62 Hình 5.8. Thông số của KIT Basys2 .........................................................................63 6 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics LỜI GIỚI THIỆU Trong những năm gần đây công nghệ điện từ đã và đang phát triển nhảy vọt. Các loại IC LSI( Large Scale Integration), VLSK(Very Large Scale Integration) với khả năng tích hợp tới hàng triệu Transistor đã ra đời với nhiều ứng dụng khác nhau trong Công nghệ thông tin, Điện tử viễn thông, Tự động hoá... không ngừng đáp ứng các nhu cầu của xã hội .Một trong những công nghệ mới được ra đời, có thể thay thế cho các hệ thống số trước đây đòi hòi rất nhiều thời gian và chi phí cho nghiên cứu và chế tạo, đó là công nghệ ASIC (Application Specific Integrated Circuit). Dẫn đầu trong lĩnh vực này là sản phẩm FPGA (Field Programmable Gate Array và CPLD (Complex Programmable Logic Devices). Sử dụng FPGA hoặc CPLD có thể tối thiểu hóa được nhiều công đoạn thiết kế, lắp ráp vì hầu hết được thực hiện trên máy tính. Các ngôn ngữ mô phòng phần cứng (HDL: Hardware Description Languages) như ABEL, VHDL, Verilog, Schematic... cho phép thiết kế và mô phỏng hoạt động của mạch bằng chương trình. Các chương trình mô phỏng cho phép xác định lỗi thiết kế một cách dễ dàng và kết quà thực hiện của chương trình là một file bít cấu hình (bitstream) đế nạp (download) vào FPGA và CPLD để nó hoạt động giống như một mạch logic. Các FPGA và CPLD với khả năng tích hợp cao tới hàng triệu gate và cấu trúc mạch tối ưu hoá mật độ tích hợp, hiệu suất cao cho phép xử lý nhanh số liệu, độ tin cậy và chất lượng cao, dễ sử dụng do đó được ứng dụng rất đa dạng trong nhiều loại thiết bị điện tử hiện nay. Trong báo cáo này em chỉ tập trung đề cập tới khía cạnh ngôn ngữ mô tả phần cứng sử dụng ngôn ngữ VHDL và giới thiệu về FPGA họ SPARTAN-3E của hãng Xilinx. 7 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics CHƯƠNG 1: TỔNG QUAN VỀ CÁC PHƯƠNG PHÁP THIẾT KẾ VI MẠCH 1.1. Các phương pháp thiết kế tiền HDL 1.1.1. Phương pháp thiết kế dùng hàm logic Trong việc thiết kế các hệ thống số, sẽ rất khó khăn nếu người thiết kế không có những kiến thức cơ bản về đặc điểm và chức năng của các phần tử logic cơ bản như các cổng logic AND, OR, NOT,… cũng như các flip- flop. Hầu hết các mạch logic tạo nên nhờ các cổng logic và các flip- flop được thiết kế theo phương thức truyền thống dựa trên các hàm logic (boolean equations). Nhiều kĩ thuật thiết kế đã ra đời nhằm tối ưu hóa phương pháp truyền thống này, một trong các công việc để tối phương pháp thiết kế dùng hàm logic là giảm thiểu các phương trình logic giúp sử dụng các cổng logic và flip- flop hiệu quả hơn. Hình 1.1 Phương pháp thiết kế dùng hàm logic Kĩ thuật thiết kế dựa trên các hàm logic yêu cầu phải viết các phương trình logic cho từng đầu vào dữ liệu của flip- flop và cho từng nhóm cổng logic. Điều này có nghĩa kĩ thuật thiết kế sẽ không khả thi với việc thiết kế các mạch lớn với hàng trăm các flip-flop bởi vì kĩ thuật này đòi hỏi phải có một số lượng lón hàng trăm các phương trình logic tương ứng. 1.1.2. Phương pháp thiết kế dựa trên sơ đồ nguyên lí 8 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics Phương pháp thiết kế dựa trên sơ đồ nguyên lí có sự trợ giúp của máy tính cho phép thiết kế các hệ thống lớn hơn nhờ kết hợp các cổng logic và flip- flop với các mạch. Bởi vì các mạch có thể bao gồm rất nhiều các flip- flop cũng như các mạch khác, điều này cho phép thiết kế các mạch lớn có tính phân cấp với số lượng lớn các thành phần cấu tạo nên không cần mất nhiều công sức như phương pháp thiết kế dùng hàm logic trước đây. Hình 1.2. Thiết kế mạch dựa trên sơ đồ nguyên lí Mọi người ưa thích và thường xuyên sử dụng phương pháp này còn bởi khả năng biểu diễn thiết kế trực quan sinh động các thiết kế bằng các sơ đồ nguyên lí dễ hiểu về các thành phần thiết kế và kết nối giữa chúng. 1.1.3. Ưu điểm, nhược diểm của các phương pháp thiết kế truyền thống Các bước thiết kế của ngôn ngữ mô tả phần cứng truyền thống: Hình 1.3 Các bước thiết kế của phương pháp thiết kế truyền thống Bước 1: Thông tin về hệ thống cần thiết được chuyển đổi thủ công sang một tập các hàm logic. 9 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics Bước 2: Chuyển đổi thủ công các hàm luân lí thành một mạng kết nối các cổng logic và flip- flop (sơ đồ nguyên lý). Bước 3: Tổng hợp và thực hiện thiết kế  Ưu điểm: - Dễ thực hiện. - Thực hiện thiết kế với các mạch từ vài phần tử logic đến vài trăm phần tử logic.  Nhược điểm: - Xác định hệ thống là một mạng kết nối các thành phần. Không xác định đặc điểm kĩ thuật của hệ thống( hành vi/ chức năng)- Hệ thống sẽ làm gì với các tình huống cụ thể. - Không phù hợp với các hệ thống tích hợp cỡ lớn và phức tạp. 1.2. Phương pháp thiết kế dùng HDL a) Chức năng và ưu điểm của HDL  Chức năng: HDL là ngôn ngữ thuộc lớp ngôn ngữ máy tính(computer language):  Dùng miêu tả cấu trúc và hoạt động một vi mạch.  Dùng mô phỏng, kiểm tra hoạt động vi mạch.  Biểu diễn hành vi theo thời gian và cấu trúc không gian của mạch.  Bao gồm những ký hiệu biểu diễn thời gian và sự đồng thời (time and concurrence).  Ưu điểm:  Loại bỏ công đoạn chuyển đổi thủ công từ mô tả thông tin thiết kế thành các phương trình logic  Dễ quản lí những mạch lớn và phức tạp  Độc lập với công nghệ  Cho phép tái sử dụng những thiết kế đã có sẵn  Mạch có thể được tổng hợp từ đặc tả b) Phương pháp thiết kế dùng HDL 10 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics Hình 1.4. Các bước thiết kế dùng HDL HDL được sử dụng để thiết kế các phần tử logic lập trình được (Programable Logic Devices- PLD) từ các PLD đơn giản đến CPLD và FPGA phức tạp. Hiện nay có nhiều HDL đang được sủ dụng trong đó phổ biến nhất là VHDL, Verilog và Abel. Báo cáo này tập trung giới thiệu về ngôn ngữ mô tả phần cứng VHDL và ứng dụng của nó trên FPGA. 11 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry CHƯƠNG 2: 2.1. Faculty of Electronics TỔNG QUAN VỀ VHDL Giới thiệu về VHDL VHDL là viết tắt của cụm từ Very High Speed Intergrated Circuit Hardware Description Language - ngôn ngữ mô tả phần cứng cho các mạch tích hợp tốc độ rất cao. VHDL là ngôn ngữ mô tả phần cứng được phát triển dùng cho chương trình VHSIC (Very High Speed Intergrated Circuit) của bộ quốc phòng Mỹ. Mục tiêu của việc phát triển VHDL là có được một ngôn ngữ mô tả phần cứng tiêu chuẩn và thống nhất cho phép phát triển thử nghiệm các hệ thống số nhanh hơn cũng như cho phép dễ dàng đưa các hệ thống đó vào ứng dụng trong thực tế. Ngôn ngữ VHDL được ba công ty Intermetics, IBM và Texas Instruments bắt đầu nghiên cứu phát triển vào 7/1983. Phiên bản đầu tiên được công bố vào 8/1985. Sau đó VHDL được đề xuất để tổ chức IEEE xem xét thành một tiêu chuẩn. Năm 1987, đã đưa ra tiêu chuẩn về VHDL – tiêu chuẩn IEEE-1076-1987. Trước khi VHDL ra đời, có nhiều ngôn ngữ mô tả phần cứng được sử dụng nhưng không có một tiêu chuẩn thống nhất. Vì các ngôn ngữ mô phỏng phần cứng đó được các nhà cung cấp thiết bị phát triển, nên mang các đặc trưng gắn với các thiết bị của nhà cung cấp đó và thuộc sở hữu của nhà cung cấp. Trong khi đó, VHDL được phát triển như một ngôn ngữ độc lập không gắn với bất kỳ một phương pháp thiết kế, bộ mô phỏng hay công nghệ phần cứng nào. Người thiết kế có thể tự do lựa chọn công nghệ, phương pháp thiết kế trong khi vẫn sử dụng một ngôn ngữ duy nhất. VHDL có một số ưu điểm hơn hẳn các ngôn ngữ mô tả phần cứng khác là:  Tính công cộng: VHDL được phát triển dưới sự bảo trợ của chính phủ Mỹ và hiện nay là một tiêu chuẩn của IEEE, VHDL không thuộc sở hữu của bất kỳ cá nhân hay tổ chức nào. Do đó VHDL được hỗ trợ của nhiều nhà sản xuất thiết bị cũng như nhiều nhà cung cấp công cụ thiết kế mô phỏng hệ thống. Ðây là một ưu điểm nổi bật của VHDL, giúp VHDL trở nên ngày càng phổ biến.  Khả năng hỗ trợ nhiều công nghệ và phương pháp thiết kế: VHDL cho phép thiết kế bằng nhiều phương pháp như phương pháp thiết kế từ trên xuống, hay từ dưới lên dựa vào các thư viện có sẵn. Như vậy VHDL có thể phục vụ tốt cho 12 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics nhiều mục đích thiết kế khác nhau, từ việc thiết kế các phần tử phổ biến đến việc thiết kế các IC ứng dụng đặc biệt (Application Specified IC).  Ðộc lập với công nghệ: VHDL hoàn toàn độc lập với công nghệ chế tạo phần cứng. Một mô tả hệ thống dùng VHDL thiết kế ở mức cổng có thể được chuyển thành các bản tổng hợp mạch khác nhau tuỳ thuộc vào công nghệ chế tạo phần cứng nào được sử dụng (dùng CMOS, nMOS, hay GaAs). Ðây cũng là một ưu điểm quan trọng của VHDL nó cho phép người thiết kế không cần quan tâm đến công nghệ phần cứng khi thiết kế hệ thống, như thế khi có một công nghệ chế tạo phần cứng mới ra đời nó có thể được áp dụng ngay cho các hệ thống đã thiết kế.  Khả năng mô tả mở rộng: VHDL cho phép mô tả hoạt động của phần cứng từ mức hệ thống số( hộp đen) cho đến mức cổng. VHDL có khả năng mô tả hoạt động của hệ thống trên nhiều mức nhưng chỉ sử dụng một cú pháp chặt chẽ thống nhất cho mọi mức. Như thế ta có thể mô phỏng một bản thiết kế bao gồm cả các hệ con được mô tả ở mức cao và các hệ con được mô tả chi tiết.  Khả năng trao đổi kết quả: Vì VHDL là một tiêu chuẩn được chấp nhận, nên một mô hình VHDL có thể chạy trên mọi bộ mô phỏng đáp ứng được tiêu chuẩn VHDL và các kết quả mô tả hệ thống có thể được trao đổi giữa các nhà thiết kế sử dụng công cụ thiết kế khác nhau nhưng cùng tuân theo chuẩn VHDL. Hơn nữa, một nhóm thiết kế có thể trao đổi mô tả mức cao của các hệ thống con trong một hệ thống; trong khi các hệ con đó được thiết kế độc lập.  Khả năng hỗ trợ thiết kế mức lớn và khả năng sử dụng lại các thiết kế: VHDL được phát triển như một ngôn ngữ lập trình bậc cao, vì vậy nó có thể sử dụng để thiết kế một hệ thống lớn với sự tham gia của một nhóm nhiều người. Bên trong ngôn ngữ VHDL có nhiều tính năng hỗ trợ việc quản lý, thử nghiệm và chia sẻ thiết kế. VHDL cũng cho phép dùng lại các phần đã có sẵn. 2.2. Cấu trúc cơ bản của VHDL Trong phần này, chúng ta mô tả các phần cơ bản có chứa cả các đoạn code nhỏ của VHDL: các khai báo LIBRARY, ENTITY và ARCHITECTURE. Một đọan Code chuẩn của VHDL gồm tối thiểu 3 mục sau: ⦁ Khai báo LIBRARY: chứa một danh sách của tất cả các thư viện được sử dụng trong thiết kế. Ví dụ: ieee, std, work, … 13 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics ⦁ ENTITY: Mô tả các chân vào ra (I/O pins) của mạch. ⦁ ARCHITECTURE: chứa mã VHDL, mô tả mạch sẽ họat động như thế nào. Một LIBRARY là một tập các đọan Code thường được sử dụng. Việc có một thư viện như vậy cho phép chúng được tái sử dụng và được chia sẻ cho các ứng dụng khác. Mã thường được viết theo các định dạng của FUNCTIONS, PROCEDURES, hoặc COMPONENTS, được thay thế bên trong PACKAGES và sau đó được dịch thành thư viện đích. Hình 2.1: Các thành phần cơ bản của một đoạn mã VHDL 2.2.1. Khai báo Library Để khai báo Library, chúng ta cần hai dòng mã sau, dòng thứ nhất chứa tên thư viện, dòng tiếp theo chứa một mệnh đề cần sử dụng: LIBRARY library_name; USE library_name.package_name.package_parts; Thông thường có 3 gói, từ 3 thư viện khác nhau thuờng được sử dụng trong thiết kế: ⦁ ieee.std_logic_1164 (from the ieee library), ⦁ standard (from the std library), ⦁ work (work library). 14 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics Hình 2.2: Các phần cơ bản của một Library Các khai báo như sau: LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY std; USE std.standard.all; LIBRARY work; USE work.all; Các thư viện std và work thường là mặc định, vì thế không cần khai báo chúng, chỉ có thư viện ieee là cần phải được viết rõ ra. Mục đích của 3 gói/thư viện được kể ở trên là như sau: gói std_logic_1164 của thư viện ieee cho biết một hệ logic đa mức; std là một thư viện tài nguyên (kiểu dữ kiệu, i/o text..) cho môi trường thiết kế VHDL và thư viện work được sủ dụng khi chúng ta lưu thiết kế ( file .vhd, các file được tạo bởi chương trình dịch và chương trình mô phỏng…) . 2.2.2. Khai báo Entity Một ENTITY là một danh sách mô tả các chân vào/ra ( các PORT) của mạch điện. Cú pháp như sau: ENTITY entity_name IS PORT ( port_name : signal_mode signal_type; port_name : signal_mode signal_type; ...); 15 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics END entity_name; Chế độ của tín hiệu ( mode of the signal) có thể là IN, OUT, INOUT hoặc BUFFER. Ví dụ trong hình 2.3 ta có thể thấy rõ các chân IN, OUT chỉ có một chiều (vào hoặc ra) trong khi INOUT là 2 chiều và BUFFER lại khác, tín hiệu ra phải được sử dụng từ dữ liệu bên trong. Kiểu của tín hiệu ( type of the signal) có thể là BIT, STD_ LOGIC, INTEGER, … Tên của thực thể ( name of the entity) có thể lấy một tên bất kỳ, ngọai trừ các tù khóa của VHDL. Ví dụ: Xét cổng NAND ở hình 2.4, khai báo ENTITY như sau: ENTITY nand_gate IS PORT ( a, b : IN BIT; x : OUT BIT); END nand_gate; Hình 2.3. Các chế độ tín hiệu Hình 2.4. Cổng NAND 2.2.3. Các kiểu kiến trúc (Achitecture) ARCHITECTURE là một mô tả mạch dùng để quyết mạch sẽ làm việc như thế nào ( có chức năng gì). Cú pháp như sau: ARCHITECTURE architecture_name OF entity_name IS [declarations] BEGIN (code) END architecture_name; Như thấy ở trên, một cấu trúc có 2 phần: phần khai báo ( chức năng), nơi các tín hiệu và các hằng được khai báo, và phần mã (code - từ BEGIN trở xuống). Ví dụ: Xét trở lại cổng NAND của hình 2.4 ARCHITECTURE mach OF nand_gate IS BEGIN 16 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics x <= a NAND b; END mach; Ý nghĩa của ARCHITECTURE trên là như sau: mạch phải thực hiện công việc NAND 2 tín hiệu vào (a,b) và gán (<=) kết quả cho chân ra x. Mỗi một khai báo thực thể đều phải đi kèm với ít nhất một kiến trúc tương ứng. VHDL cho phép tạo ra hơn một kiến trúc cho một thực thể. Phần khai báo kiến trúc có thể bao gồm các khai báo về các tín hiệu bên trong, các phần tử bên trong hệ thống, hay các hàm và thủ tục mô tả hoạt động của hệ thống. Tên của kiến trúc là nhãn được đặt tuỳ theo người sử dụng. Có hai cách mô tả kiến trúc của một phần tử ( hoặc hệ thống) đó là mô hình hoạt động (Behaviour) hay mô tả theo mô hình cấu trúc (Structure). Tuy nhiên một hệ thống có thể bao gồm cả mô tả theo mô hình hoạt động và mô tả theo mô hình cấu trúc. + Mô tả kiến trúc theo mô hình hoạt động: Mô hình hoạt động mô tả các hoạt động của hệ thống (hệ thống đáp ứng với các tín hiệu vào như thế nào và đưa ra kết quả gì ra đầu ra) dưới dạng các cấu trúc ngôn ngữ lập trình bậc cao. Cấu trúc đó có thể là PROCESS , WAIT, IF, CASE, FOR-LOOP… Ví dụ: ARCHITECTURE behavior OF nand IS -- Khai báo các tín hiệu bên trong và các bí danh BEGIN c <= NOT(a AND b); END behavior; + Mô tả kiến trúc theo mô hình cấu trúc: Mô hình cấu trúc của một phần tử (hoặc hệ thống) có thể bao gồm nhiều cấp cấu trúc bắt đầu từ một cổng logic đơn giản đến xây dựng mô tả cho một hệ thống hoàn thiện. Thực chất của việc mô tả theo mô hình cấu trúc là mô tả các phần tử con bên trong hệ thống và sự kết nối của các phần tử con đó. Mô tả cú pháp: architecture identifier of entity_name is Architecture_declarative_part 17 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics begin all_concurrent_statements end [architecture][architecture_simple_name]; Khai báo các thành phần: Component Tên_componemt port [ danh sách ]; End component; Như với ví dụ mô tả mô hình cấu trúc một flip-flop RS gồm hai cổng NAND có thể mô tả cổng NAND được định nghĩa tương tự như ví dụ với cổng NOT, sau đó mô tả sơ đồ kết nối các phần tử NAND tạo thành trigơ RS. Ví dụ: Hình 2.5. Sơ đồ của trigo RS ENTITY rsff IS PORT( r : IN std_logic; s : IN std_logic; q : OUT std_logic; qb : OUT std_logic); END rsff; ARCHITECTURE kien_truc OF rsff IS COMPONENT nand -- định nghĩa cỗng nand GENERIC(delay : time); PORT( a : IN std_logic; b : IN std_logic; c : OUT std_logic); 18 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Faculty of Electronics END COMPONENT; BEGIN u1: nand -- cài đặt u1 là thành phần nand GENERIC MAP(5 ns) -- giá trị delay có thể thay đổi values PORT MAP(s, qb, q); -- bản đồ I/O cho thành phần u2: nand -- thiết lập u2 là thành phần nand GENERIC MAP(5 ns) PORT MAP(q, r, qb); END kien_truc; + Mô tả kiến trúc theo mô hình tổng hợp: Đó là mô hình kết hợp của 2 mô hình trên. Ví dụ: Entity adder is Port ( A,B,Ci : bit S, Cout : bit); End adder; Architecture arc_mixed of adder is Component Xor2 Port( P1, P2 : in bit; PZ : out bit); End compenent; Signal S1 :bit; Begin X1 : Xor2 port map(A,B,S1); Process (A,B,Cin) Variable T1,T2,T3 : bit; Begin T1 := A and B; T2 :=B and Cin ; T3 :=A and Cin; 19 Sinh viên thực tập: Nguyễn Thanh Tùng Hà Nội University of Industry Cout Faculty of Electronics :=T1 or T2or T3 ; End process; End arc_mixed ; 2.3. Các kiểu dữ liệu 2.3.1. Các kiểu con (Subtypes). Kiểu dữ liệu con là một kiểu dữ liệu đi kèm theo điều kiện ràng buộc. Lý do chính cho việc sử dụng kiểu dữ liệu con để sau đó định ra một kiểu dữ liệu mới đó là, các thao tác giữa các kiểu dữ liệu khác nhau không được cho phép, chúng chỉ được cho phép trong trường hợp giữa một kiểu con và kiểu cơ sở tương ứng với nó. Ví dụ 1: Kiểu dữ liệu sau đây nhận được các kiểu dữ liệu được giới thiệu trong các ví dụ phần trước. SUBTYPE natural IS INTEGER RANGE 0 TOINTEGER'HIGH; -- NA TURALis a kiểu con (tập con) of INTEGER. SUBTYPE my_logic IS STD_LOGIC RANGE '0' TO'Z'; -- Gọi lại STD_LOGIC=('X','0','1','Z','W','L','H','-'). -- Do đó, my_logic=('0','1','Z'). SUBTYPE my_color IS color RANGE red TO blue; -- khi color=(red, green, blue, white) -- my_color=(red, green, blue). SUBTYPE small_integer IS INTEGER RANGE -32 TO32; -- Một tập con của INTEGER. 2.3.2. Mảng a) Mảng (Arrays) Mảng là một tập hợp các đối tượng có cùng kiểu. Chúng có thể là một chiều (1D), 2 chiều (2D) họăc một chiều của một chiều (1D x 1D) và cũng có thể có những kích thước cao hơn. Hình 2.7 minh họa việc xây dựng một mảng dữ liệu. Một giá trị đơn ( vô hướng được chỉ ra ở (a), một vector ( mảng 1D) ở (b) và một mảng các vector ( mảng 1Dx1D) ở (c) và mảng của mảng 2D như trong (d). 20 Sinh viên thực tập: Nguyễn Thanh Tùng
- Xem thêm -

Tài liệu liên quan