Đăng ký Đăng nhập
Trang chủ Thiết kế bộ giải mã nhị phân 16 bit ra...

Tài liệu Thiết kế bộ giải mã nhị phân 16 bit ra

.PDF
16
926
114

Mô tả:

MỤC LỤC LỜI NÓI ĐẦU ................................................................................................... 2 PHẦN I: THIẾT KẾ CHÍNH ............................................................................. 3 I. LÝ THUYẾT......................................................................................... 3 1. Khái niệm và chức năng của mạch giải mã ......................................... 3 2. Sơ đồ mạch của các khối ....................................................................... 3 II. THIẾT KẾ MẠCH GIẢI MÃ NHỊ PHÂN ............................................ 5 1. Phân tích yêu cầu đề bài ..................................................................... 5 2. Bảng trạng thái ................................................................................... 5 PHẦN II: MÔ PHỎNG .................................................................................... 11 I. DÙNG 2 IC 74LS138 .......................................................................... 11 1. Giới thiệu về IC 74LS138 ................................................................. 11 2. Tiến hành mô phỏng dùng 2 IC 74LS138 ......................................... 12 II. Dùng IC 74LS154 ................................................................................... 13 1. Giới thiệu về IC74LS154 .................................................................. 13 2. Tiến hành mô phỏng dùng IC 74154................................................. 14 3. Kết luận ............................................................................................ 14 Tài liệu tham khảo ........................................................................................... 16 1 LỜI NÓI ĐẦU Ngày nay, con người cùng với những ứng dụng của khoa học kỹ thuật tiên tiến của thế giới, chúng ta đã và đang ngày một thay đổi, văn minh và hiện đại hơn. Sự phát triển của kỹ thuật điện tử đã tạo ra hàng loạt những thiết bị với các đặc điểm nổi bật như sự chính xác cao, tốc độ nhanh, gọn nhẹ…là những yếu tố rất cần thiết góp phần cho hoạt động của con người đạt hiệu quả ngày càng cao hơn. Điện tử đang trở thành một ngành khoa học đa nhiệm vụ. Điện tử đã đáp ứng được những đòi hỏi không ngừng của các ngành, lĩnh vực khác nhau cho đến nhu cầu thiết yếu của con người trong cuộc sống hàng ngày. Trong các hệ thống số kể cả viễn thông, máy tính; các đường điều khiển tuỳ chọn hay dữ liệu được truyền đi hay xử lí đều phải ở dạng số hệ 2 chỉ gồm 1 và 0; có nhiều đường tín hiệu chỉ có 1 bit như đường điều khiển mở nguồn cho mạch ở mức 1; rồi có nhiều đường địa chỉ nhiều bit chẳng hạn 110100 để CPU xác định địa chỉ trong bộ nhớ; rồi dữ liệu dạng hex gửi xuống máy in cho in ra kí tự. Tất cả các tổ hợp bit đó được gọi là các mã số (code) hay mã. Và mạch tạo ra các mã số gọi là mạch mã hoá (lập mã: encoder). Tuy nhiên, nếu như vẫn để ở dạng mã hóa như vậy thì con người sẽ không thể hiểu được. Hãy tưởng tượng nếu màn hình máy tính của bạn chỉ hiển thị lên các con số 0 và 1 thì liệu bạn có thể hiểu được thông tin đố không. Xuất phát từ những yêu cầu đó, chúng em đã nhận bài tập lớn tìm hiểu về: “ Thiết kế bộ giải mã nhị phân 16 bit ra”. Do thời gian và kiến thức còn hạn chế nên bài làm của chúng em không thể tránh khỏi những thiếu sót, chúng em rất mong thầy cô trong bộ môn góp ý để bài tập của em được hoàn thiện hơn. Trong quá trình làm bài tập em được sự chỉ bảo nhiệt tình của thầy cô trong khoa Công nghệ tự động trường đại học điện lực, đặc biệt là thầy Nguyễn Ngọc Khoát đã trực tiếp hướng dẫn chúng em trên lớp. Qua đây em xin gửi lời cảm ơn chân thành đến thầy cô trong khoa Công nghệ tự động thầy Nguyễn Ngọc Khoát đã hướng dẫn cho chúng em hoàn thành bài tập này. 2 PHẦN I: THIẾT KẾ CHÍNH I. LÝ THUYẾT 1. Khái niệm và chức năng của mạch giải mã Mạch giải mã là mạch có chức năng ngược lại với mạch mã hoá tức là nếu có 1 mã số áp vào ngõ vào thì tương ứng sẽ có 1 ngõ ra được tác động, mã ngõ vào thường ít hơn mã ngõ ra. Tất nhiên ngõ vào cho phép phải được bật lên cho chức năng giải mã. Mạch giải mã được ứng dụng chính trong ghép kênh dữ liệu, hiển thị led 7 đoạn, giải mã địa chỉ bộ nhớ. Hình dưới là sơ đồ khối của mạch giải mã Hình 1: Sơ đồ khối quá trình hiển thị thông tin Chức năng từng khối - Khối nguồn: cung cấp nguồn điên cho mạch hoạt động. Nguồn là điện áp 1 chiều 5V,ta có thể sử dụng IC 7805 ổ áp cung cấp 2 mức điện áp cho toàn mạch là +12vol và +5vol.Hoặc dùng sạc điện thoại để cung cấp nguồn cho mạch - Khối điều khiển:là khối điều khiển hoạt động của toàn mạch - Khối mã hóa: có ác dụng chuyển tín hiệu đầu vào sang dạng nhị phân - Khối giải mã: ta có thể dùng IC 74ls154 hoặc ghép nối 2 IC 74ls138: Đây là IC giải mã 4 đầu vào → 16 đầu ra chuyển từ mã nhị phân sang các số tương ứng được hiển thị trên màn hình - Khối hiển thị: là màn hình hiển thị tín hiệu vừa được giải mã. 2. Sơ đồ mạch của các khối a) Sơ đồ mạch khối nguồn 3 - Khối nguồn lấy nguồn AC_ 220V từ điện lưới gia đình, dùng biến thế hạ áp xuống 12Vol_AC, khi qua cầu chỉnh lưu được DC_ 12V, dùng IC 7805 ổ áp cung cấp 2 mức điện áp cho toàn mạch là +12vol và +5vol. Hình 2: Sơ đồ mạch khối nguồn Hoặc ta cũng có thể lấy trực tiếp sạc điện thoại dùng làm nguồn cho mạch b) Sơ đồ mạch khối mã hóa Hình 3: Sơ đồ khối khối mã hóa 4 c) Sơ đồ khối mạch giải mã Hình 4: Sơ đồ khối mạch giải mã II. THIẾT KẾ MẠCH GIẢI MÃ NHỊ PHÂN 1. Phân tích yêu cầu đề bài - Đầu vào: n n - Đầu ra: 2 =16  Đầu vào n = 4 Hình 5: Sơ đồ khối mạch giải mã 4-16 Trong đó: , , , là các ngõ tín hiệu đầu vào , ,…., là các tín hiệu đầu ra 2. Bảng trạng thái Nguyên tắc: - Ứng với mỗi 1 tổ hợp biến đầu vào chỉ có 1 đầu ra được tích cực - Nếu 1 đầu ra được tích cực tất cả đầu ra còn lại không được phép tích cực 5 Mạch giải mã nhị phân thực hiện biến đổi tín hiệu ngõ vào từ dạng mã nhị phân sang dạng mã thập phân ở ngõ ra tương ứng, cụ thể như sau: 0 →0000 1→0001 2→0010 3→0011 4 →0100 5→0101 6→0110 7→0111 9→1001 10→1010 11→1011 8 →1000 12→1100 13→1101 14→1110 15→1111 a) Trường hợp 1: Mức tác động (tích cực) ở ngõ vào là mức 1 (tích cực mức cao) Ta có bảng trạng thái mô tả hoạt động của mạch: Biến đầu vào x3 x2 x1 x0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 Biến đầu ra (y) 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 Bảng 1: Bảng trạng thái mức tích cực cao Giải thích bảng trạng thái: Khi xuất hiện một tín hiệu ở ngõ vào thì ở một ngõ ra xuất hiện mã tương ứng ở trạng thái tích cực ( mức logic 1) các ngõ ra còn lại không được tích cực (mức logic 0). Cụ thể là: khi ngõ vào là 0000 thì mã ở ngõ ra xuất hiện là y 0 = 1, còn các ngõ ra còn lại bằng 0. Khi ngõ vào là 0001 thì ngõ ra xuất hiện là y1 = 1, còn các ngõ ra còn lại bằng 0… 6 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Phương trình logic tối giản: y0  x0 x1 x2 x3 y1  x0 x1 x2 x3 y2  x0 x1 x2 x3 y3  x0 x1 x2 x3 y4  x0 x1 x2 x3 y5  x0 x1 x2 x3 y6  x0 x1 x2 x3 y7  x0 x1 x2 x3 y8  x0 x1 x2 x3 y9  x0 x1 x2 x3 y10  x0 x1 x2 x3 y11  x0 x1 x2 x3 y12  x0 x1 x2 x3 y13  x0 x1 x2 x3 y14  x0 x1 x2 x3 y15  x0 x1 x2 x3 Vẽ mạch logic: - Dùng NAND Hình 6:Mạch logic tích cực mức cao dùng NAND 7 - Dùng NOR Hình 7: Mạch logic tích cực mức cao dùng NOR b) Trường hợp 2: Mức tác động (tích cực) ở ngõ vào là mức 0 (tích cực mức thấp) Đối với mạch giải mã có mức tác động ở ngõ vào là mức 0, ta cũng tiến hành làm các bước như ở mạch giải mã có mức tác động ở mức cao. Có điểm khác nhau đó là: Ở mức tích cực mức thấp khi xuất hiện một tín hiệu ở ngõ vào thì ở một ngõ ra xuất hiện mã tương ứng ở trạng thái tích cực ( mức logic 0) các ngõ ra còn lại không được tích cực (mức logic 1). Cụ thể là: khi ngõ vào là 0000 thì mã ở ngõ ra xuất hiện là y 0 = 0, còn các ngõ ra còn lại bằng 1. Khi ngõ vào là 0001 thì ngõ ra xuất hiện là y1 = 0, còn các ngõ ra còn lại bằng 1… 8 Ta có bảng trạng thái mô tả hoạt động của mạch: Biến đầu vào Biến đầu ra (y) x3 x2 x1 x0 15 14 13 12 11 10 9 8 7 6 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 0 0 0 1 1 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 0 1 1 0 0 1 1 1 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 0 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 0 Bảng 2: Bảng trạng thái mức tích cực thấp 5 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 4 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 Phương trình logic tối giản: y0  x0 x1 x2 x3 y1  x0 x1 x2 x3 y2  x0 x1 x2 x3 y3  x0 x1 x2 x3 y4  x0 x1 x2 x3 y5  x0 x1 x2 x3 y6  x0 x1 x2 x3 y7  x0 x1 x2 x3 y8  x0 x1 x2 x3 y9  x0 x1 x2 x3 y10  x0 x1 x2 x3 y11  x0 x1 x2 x3 y12  x0 x1 x2 x3 y13  x0 x1 x2 x3 y14  x0 x1 x2 x3 y15  x0 x1 x2 x3 9 3 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 2 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 Vẽ mạch logic: - Dùng NAND Hình 8: Mạch logic tích cực mức thấp dung NAND - Dùng NOR Hình 9: Mạch logic tích cực mức thấp dung NOR 10 PHẦN II: MÔ PHỎNG I. DÙNG 2 IC 74LS138 1. Giới thiệu về IC 74LS138 74LS138 là IC MSI giải mã 3 đường sang 8 đường hay tách kênh 1 đường sang 8 đường thường dùng và có hoạt động logic tiêu biểu, nó còn thường được dùng như mạch giải mã địa chỉ trong các mạch điều khiển và trong máy tính. Sơ đồ chân và kí hiệu logic như hình dưới đây : Hình 10: Kí hiệu khối và chân ra của 74LS138 Trong đó: A0, A1, A2 là 3 đường địa chỉ ngõ vào E1, E2 là các ngõ vào cho phép (tác động mức thấp) E3 là ngõ vào cho phép tác động mức cao O0 đến O7 là 8 ngõ ra (tác động ở mức thấp ) Cấu trúc bên trong 74LS138: Hình 11: Cấu trúc bên trong 74LS138 11 Hoạt động giải mã như sau : Đưa dữ liệu nhị phân 3bit vào ở C, B, A(LSB), lấy dữ liệu ra ở các ngõ O0 đến O7; ngõ cho phép E2 và E3 đặt mức thấp, ngõ cho phép E1 đặt ở mức cao. Chẳng hạn khi CBA là 001 thì ngõ O1 xuống thấp còn các ngõ ra khác đều ở cao. Ta có thể ghép nối 2 IC 74LS138 để dùng cho mạch giải mã 4 đầu vào 16 đầu ra theo sơ đồ sau: 2. - Hình 16: Sơ đồ ghép nối 2 IC 74LS138 Tiến hành mô phỏng dùng 2 IC 74LS138 a) Những linh kiện cần thiết IC TIMER 555 dùng để tạo xung theo thời gian IC 7493 có tác dụng đếm xung đầu vào Led-red , điện trở, tụ điện, nguồn điện b) Mô phỏng 12 Hình 17: Sơ đồ mô phỏng mạch giải mã ghép 2 IC 74LS138 II. Dùng IC 74LS154 1. Giới thiệu về IC74LS154 74154/LS154 là IC giải mã 4 sang 16 đường hay tách kênh 1 sang 16 đường Sơ đồ chân của IC 74LS154 Hình 18: Sơ đồ chân của IC 74LS154 13 Trong đó: A0, A1, A2, A3 là 4 đường địa chỉ ngõ vào E0, E1 là các ngõ vào cho phép Q0 đến Q15 là 16 ngõ ra 2. Tiến hành mô phỏng dùng IC 74154 Hình 19: Sơ đồ mô phỏng mạch giải mã dùng IC 74154 3. Kết luận - Mạch giải mã được sử dụng rất rộng rãi và được ứng dụng chính trong ghép kênh dữ liệu, hiển thị led 7 đoạn, giải mã địa chỉ bộ nhớ… - Hai mạch giải mã trên đều có ưu điểm là: + Mạch đơn giản và dễ sử dụng, hoạt động chính xác, linh kiến dễ tìm kiếm, giá thành rẻ… + Có thể ghép nối nhiều IC để sư dụng cho các mạch giải mã có yêu cầu đầu ra lớn 14 - Tuy nhiên vẫn còn một số khuyết điểm như : nếu mạch yêu cầu rất nhiều đầu ra thì cần phải ghép nối rất nhiều IC và có thể làm cho mạch khá phức tạp và cồng kềnh… - Mạch giải mã trên còn có thể thiết kế và mô phỏng bằng các phần tử logic như NAND, NOR, AND, NOT…tuy nhiên mạch sẽ cần rất nhiều linh kiện và phức tạp. 15 Tài liệu tham khảo 1. Lương Ngọc Hải, Lê Hải Sâm, Điện Tử Số. Nhà xuất bản giáo dục 2010 2. http://www.dientuvietnam.net/forums/ho-tro-hoc-tap-165/mach-su-dungic-74138-va-ic-7493-a-29099/ 3. http://www.scribd.com/doc/53416490/39/III-IC-74LS154 4. http://www.ddth.com/showthread.php/210692-hoi-ve-mach-giai-ma-nhiphan-bat-phan.html 5. http://www.dientuvietnam.net/forums/ky-thuat-mach-logic-dien-tu-so58/thiet-ke-mach-dem-dung-ic-7493-ic-74138-a-33993/ 6. http://www.ebook.edu.vn/?page=1.6&view=16377 7. Nguyễn Trung Hòa, Kĩ thuật số 8. http://www.dientuvietnam.net/forums/ky-thuat-mach-logic-dien-tu-so58/thiet-ke-mach-dem-dung-ic-7493-ic-74138-a-33993 9. http://www.dientuvietnam.net/forums/ky-thuat-mach-logic-dien-tu-so58/tim-ic-29133/ 16
- Xem thêm -

Tài liệu liên quan

thumb
Năng lượng gió...
130
78479
145