Đăng ký Đăng nhập
Trang chủ Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng i...

Tài liệu Nghiên cứu, xây dựng giải pháp bảo mật dữ liệu thời gian thực truyền trên mạng ip bằng thiết bị phần cứng chuyên dụng

.PDF
145
110
89

Mô tả:

BỘ THÔNG TIN VÀ TRUYỀN THÔNG HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG PHẠM MẠNH TUẤN NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG CHUYÊN DỤNG LUẬN ÁN TIẾN SĨ KỸ THUẬT \ Hà Nội - Năm 2017 BỘ THÔNG TIN VÀ TRUYỀN THÔNG HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THÔNG PHẠM MẠNH TUẤN NGHIÊN CỨU, XÂY DỰNG GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRUYỀN TRÊN MẠNG IP BẰNG THIẾT BỊ PHẦN CỨNG CHUYÊN DỤNG Chuyên ngành : Kỹ thuật Điện tử Mã số: 62.52.02.03 LUẬN ÁN TIẾN SĨ KỸ THUẬT NGƯỜI HƯỚNG DẪN KHOA HỌC: 1. PGS.TS Lê Mỹ Tú 2. TS. Vũ Tuấn Lâm Hà Nội - Năm 2017 I LỜI CAM ĐOAN Tôi xin cam đoan các kết quả trình bày trong luận án là công trình nghiên cứu của tôi dưới sự hướng dẫn của các cán bộ hướng dẫn. Các số liệu, các kết quả trình bày trong luận án hoàn toàn trung thực và chưa được công bố trong các công trình trước đây. Các kết quả sử dụng tham khảo đều được trích dẫn đầy đủ và theo đúng quy định. Hà Nội, ngày tháng năm 2017 Nghiên cứu sinh Đỗ Thị Bắc II LỜI CẢM ƠN Trong quá trình nghiên cứu và hoàn thành luận án, nghiên cứu sinh đã nhận được sự định hướng, giúp đỡ, các ý kiến đóng góp quý báu và những lời động viên của các nhà khoa học, các thầy cô giáo, đồng nghiệp và gia đình. Trước hết, nghiên cứu sinh xin bày tỏ lời cảm ơn tới các Thầy PGS.TS Lê Mỹ Tú, TS. Vũ Tuấn Lâm, PGS.TS Nguyễn Hiếu Minh đã tận tình hướng dẫn và giúp đỡ trong quá trình nghiên cứu. Cho phép nghiên cứu sinh chân thành cảm ơn các thầy cô giáo, các nhà khoa học của Học viện Kỹ thuật Mật mã, Học viện Công nghệ Bưu chính-Viễn thông, Học viện Kỹ thuật Quân sự ... đã có các góp ý quý báu cho nghiên cứu sinh trong quá trình thực hiện luận án này. Nghiên cứu sinh chân thành cảm ơn Ban Giám đốc, Phòng Sau đại học đã tạo điều kiện thuận lợi để nghiên cứu sinh hoàn thành nhiệm vụ nghiên cứu. Cuối cùng nghiên cứu sinh bày tỏ lời cảm ơn tới các đồng nghiệp, gia đình, bạn bè đã luôn động viên, chia sẻ, ủng hộ và giúp đỡ nghiên cứu sinh vượt qua khó khăn để đạt được những kết quả nghiên cứu trong luận án này. Nghiên cứu sinh III MỤC LỤC LỜI CAM ĐOAN .................................................................................................. I LỜI CẢM ƠN ....................................................................................................... II MỤC LỤC........................................................................................................... III DANH MỤC CÁC KÍ HIỆU ...............................................................................IX DANH MỤC CÁC BẢNG BIỂU ......................................................................... X DANH MỤC CÁC HÌNH VẼ ........................................................................... XII MỞ ĐẦU ........................................................................................................... XIV Tính cấp thiết của đề tài nghiên cứu ................................................................... XIV Mục đích nghiên cứu ........................................................................................... XV Nhiệm vụ nghiên cứu .......................................................................................... XV Đối tượng và phạm vi nghiên cứu ....................................................................... XVI Phương pháp nghiên cứu .................................................................................... XVI Ý nghĩa lý luận và thực tiễn của luận án ............................................................. XVI Bố cục của luận án............................................................................................. XVII CHƯƠNG 1. TỔNG QUAN VỀ GIẢI PHÁP BẢO MẬT DỮ LIỆU THỜI GIAN THỰC TRÊN MẠNG IP ............................................................................ 1 1.1. Giới thiệu.......................................................................................................... 1 1.2. Tổng quan về một số giao thức bảo mật dữ liệu thời gian thực.......................... 3 1.2.1. Giao thức bảo mật truyền thời gian thực SRTP .............................. 3 1.2.2. Giao thức bảo mật IPSec ................................................................ 3 1.3. Tổng quan về tình hình nghiên cứu thuật toán mật mã khối .............................. 5 1.3.1. Tổng quan tình hình nghiên cứu ngoài nước .................................. 5 1.3.2. Tổng quan tình hình nghiên cứu trong nước ................................... 8 1.4. Hướng nghiên cứu của luận án.......................................................................... 9 1.5. Một số cơ sở lý thuyết trong phát triển thuật toán mật mã khối ......................... 9 1.5.1. Hàm logic (hàm boole) ................................................................... 9 1.5.2. Mật mã khối ................................................................................. 10 1.6. Nguyên lý thiết kế mạng chuyển vị thay thế điều khiển được (CSPN) ......... 15 1.6.1. Lớp phần tử nguyên thủy mật mã điều khiển được P2/1 ................. 15 IV 1.6.2. Lớp phần tử nguyên thủy mật mã điều khiển được F2/1 ................. 19 1.6.3. Lớp phần tử nguyên thủy mật mã điều khiển được F2/2 ................. 21 1.6.4. Kiến trúc mạng chuyển vị-thay thế điều khiển được Fn/m .............. 23 1.7. Kết luận chương ............................................................................................. 25 CHƯƠNG 2. CẢI TIẾN THUẬT TOÁN MẬT MÃ KHỐI SPECTR-128 DÙNG CHO BẢO MẬT DỮ LIỆU THỜI GIAN THỰC.................................. 27 2.1. Mô tả thuật toán mật mã khối SPECTR-128 ................................................... 27 2.1.1. Đặc điểm thiết kế .......................................................................... 27 2.1.2. Mô tả chung thuật toán................................................................. 28 2.1.3. Lược đồ khóa................................................................................ 30 2.1.4. Biến đổi khởi đầu và kết thúc........................................................ 31 2.1.5. Vòng mã hóa Crypt....................................................................... 32 2.1.6. Hàm phi tuyến G .......................................................................... 33 2.1.7. CP P64/192 và P 164/192 .................................................................... 35 2.1.8. Khối mở rộng E ............................................................................ 37 2.2. Phân tích độ an toàn của thuật toán SPECTR-128 ........................................... 38 2.2.1. Đánh giá độ an toàn đối với thám mã lượng sai ........................... 38 2.2.2. Đánh giá độ an toàn đối với thám mã tuyến tính .......................... 46 2.3. Cải tiến thuật toán mật mã khối SPECTR-128 ................................................ 50 2.4. Đánh giá hiệu quả của thuật toán cải tiến trên FPGA ...................................... 53 2.5. Kết luận chương ............................................................................................. 54 CHƯƠNG 3. XÂY DỰNG MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI DỰA TRÊN CÁC LỚP NGUYÊN THỦY MẬT MÃ F2/1 VÀ F2/2 .............................. 55 3.1. Phát triển họ thuật toán mật mã khối tốc độ cao .............................................. 55 3.1.1. Họ thuật toán dựa trên CE F2/1 ..................................................... 56 3.1.2. Họ thuật toán dựa trên CE F2/2 ..................................................... 68 3.2. Phân tích độ an toàn của các thuật toán đề xuất............................................... 70 3.2.1. Phân tích độ an toàn của thuật toán dựa trên F2/1 ........................ 70 3.2.2. Phân tích độ an toàn của thuật toán dựa trên F2/2 ........................ 75 V 3.3. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA ................ 78 3.3.1. Hiệu quả của thuật toán dựa trên F2/1........................................... 79 3.3.2. Hiệu quả của thuật toán dựa trên F2/2........................................... 79 3.4. Kết luận chương ............................................................................................. 81 CHƯƠNG 4. PHÁT TRIỂN NGUYÊN THỦY MẬT MÃ F2/4VÀ XÂY DỰNG MỘT SỐ THUẬT TOÁN MẬT MÃ KHỐI ....................................................... 82 4.1. Phát triển lớp nguyên thủy mật mã F2/4 ........................................................... 82 4.1.1. Xây dựng các CE F2/4 ................................................................... 82 4.1.2. Phân tích các đặc tính mật mã của các CE F2/4 ............................ 86 4.1.3. Xây dựng kiến trúc CSPN dựa trên CE F2/4 .................................. 89 4.2. Phát triển một số thuật toán mật mã khối tốc độ cao ....................................... 95 4.2.1. Tiêu chí thiết kế ............................................................................ 95 4.2.2. Mô tả thuật toán ........................................................................... 95 4.2.3. Thiết kế lược đồ khóa ................................................................. 100 4.3. Phân tích độ an toàn của các thuật toán đề xuất............................................. 101 4.3.1. Đánh giá các tiêu chuẩn thống kê............................................... 101 4.3.2. Đánh giá độ an toàn đối với thám mã lượng sai ......................... 102 4.4. Phân tích hiệu quả thực hiện của các thuật toán đề xuất trên FPGA .............. 105 4.5. Kết luận chương ........................................................................................... 106 KẾT LUẬN ........................................................................................................ 107 DANH MỤC CÁC CÔNG TRÌNH ĐÃ CÔNG BỐ .............................................. i DANH MỤC TÀI LIỆU THAM KHẢO .............................................................. ii PHỤ LỤC .............................................................................................................. ix A. Đánh giá đặc trưng thống kê theo tiêu chuẩn NESSIE ....................................... ix B. Mô hình tích hợp mật mã khối trên FPGA ........................................................ xii VI DANH MỤC CÁC CHỮ VIẾT TẮT Chữ tắt Nghĩa tiếng Anh Nghĩa tiếng Việt AES Advanced Encryption Standard Chuẩn mã hóa tiên tiến ASIC Application Specific Integrated Circuit Vi mạch tích hợp chuyên dụng CBC Cipher Block Chaining Chế độ mã xích khối CO Controlled Operator Toán tử điều khiển được COS Controlled Operational Substitution Thay thế điều khiển được CE Controlled Element Phần tử điều khiển được CFB Cipher FeedBack Chế độ mã phản hồi CLB Configurable Logic Block Khối logic lập trình được CP Controlled Permutation Hoán vị điều khiển được CTPO data-dependent Controlled Two-Place Operation Toán tử hai vị trí điều khiển được phụ thuộc dữ liệu CTR Counter mode Chế độ mã đếm CSPN Controlled Substitution Permutation Network Mạng chuyển vị thay thế điều khiển được DA Differential Attack Tấn công vi sai DC Differential Characteristic Đặc trưng vi sai DCA Differential CryptAnalysis Thám mã lượng sai DDO Data Dependent Operation Toán tử phụ thuộc dữ liệu DDP Data Dependent Permutation Hoán vị phụ thuộc dữ liệu DDR Data Dependent Rotation Phép quay phụ thuộc dữ liệu DES Data Encryption Standard Tiêu chuẩn mã hóa dữ liệu ECB Electronic Code Book Chế độ mã sách điện tử FPGA Field Programmable Gate Array Mảng cổng lập trình được dạng trường FT Final Transformation Biến đổi cuối Hash-based Message Mã xác thực bản tin dựa trên Authentication Code- Secure Hash Algorithm 1 hàm băm - Thuật toán băm an toàn 1 Internet Engineering Task Nhóm đặc trách kỹ thuật HMACSHA1 IETF VII Force Internet IKS Internal Key Scheduling Lược đồ sinh khóa nội vi IL Iterative Looping Chế độ lặp cơ sở IT Initial Transformation Biến đổi đầu Khối thay thế điều khiển KTTĐKĐ được LA Linear Attack Tấn công tuyến tính LC Linear Characteristics Đặc trưng tuyến tính LCA Linear CryptAnalysis Thám mã tuyến tính NIST National Institute of Standards and Technology Viện Tiêu chuẩn và Công nghệ quốc gia Hoa kỳ NewEuropean Schemes for Signatures, Integrity and Chuẩn Châu Âu cho Chữ ký NESSIE Encryption số, Tính toàn vẹn và Mã hóa NL Non Linearity Phi tuyến OFB Output FeedBack Chế độ mã phản hồi đầu ra PE Primitive Element Phần tử nguyên thủy mật mã PP Pipeline Chế độ đường ống toàn phần QoS Quality of Service Chất lượng dịch vụ RTP Realtime Transport Protocol Giao thức truyền dữ liệu thời gian thực RTCP RTP Control Protocol Giao thức điều khiển giao thức truyền thời gian thực SAC Strict Avalanche Criteria Tiêu chuẩn thác lũ chặt SDDO Switchable Data Dependent Operation Toán tử phụ thuộc dữ liệu chuyển mạch SDDP Switchable Data Driven Permutation Hoán vị phụ thuộc dữ liệu chuyển mạch SPN Substitution Permutation Network Mạng hoán vị thay thế Secure Real-time Transport Giao thức bảo mật truyền Protocol thời gian thực Transmission Control Protocol Giao thức điều khiển truyền vận SRTP TCP VIII TLS Transport Layer Security TMN Bảo mật lớp vận chuyển Thuật toán phát triển Giao thức gói dữ liệu người UDP User Datagram Protocol VoIP Voice over Internet Protocol Thoại trên giao thức internet XSL eXtended Sparse Linearization Tuyến tính thưa thớt mở rộng dùng IX DANH MỤC CÁC KÍ HIỆU 1. {0, 1} là tập các véc tơ nhị phân chiều =( , …, ), ∀ = 1. . , ∈ {0, 1}. ( )=∑ 2. là khoảng cách Hamming của véc tơ nhị phân U. 3. Fn/m: phần tử điều khiển được với n bit dữ liệu vào và m bit điều khiển. 4. / : phần tử nghịch đảo của phần tử Fn/m. 5. ( ) / 6. ( , ) / : : toán tử điều khiển chuyển mạch (e là bit chuyển mạch) của Pn/m. toán tử SDDO với e là bit điều khiển chuyển mạch, L là dữ liệu vào của SDDO, Fn/m là phần tử điều khiển được. 7. L<< - Xem thêm -

Tài liệu liên quan