Đăng ký Đăng nhập
Trang chủ Nghiên cứu giải pháp tiết kiệm năng lượng trong thiết kế chuyển mạch sử dụng ở t...

Tài liệu Nghiên cứu giải pháp tiết kiệm năng lượng trong thiết kế chuyển mạch sử dụng ở trung tâm dữ liệu

.PDF
116
47669
99

Mô tả:

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƢỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI TRẦN HOÀNG VŨ NGHIÊN CỨU GIẢI PHÁP TIẾT KIỆM NĂNG LƢỢNG TRONG THIẾT KẾ CHUYỂN MẠCH SỬ DỤNG Ở TRUNG TÂM DỮ LIỆU LUẬN ÁN TIẾN SĨ KỸ THUẬT ĐIỆN TỬ Hà Nội – 2015 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƢỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI TRẦN HOÀNG VŨ NGHIÊN CỨU GIẢI PHÁP TIẾT KIỆM NĂNG LƢỢNG TRONG THIẾT KẾ CHUYỂN MẠCH SỬ DỤNG Ở TRUNG TÂM DỮ LIỆU Chuyên ngành: Kỹ thuật Điện tử Mã số: 62520203 LUẬN ÁN TIẾN SĨ KỸ THUẬT ĐIỆN TỬ NGƯỜI HƯỚNG DẪN KHOA HỌC: PGS. TS. PHẠM NGỌC NAM Hà Nội – 2015 i LỜI CAM ĐOAN Tác giả xin cam đoan rằng các kết quả khoa học được trình bày trong luận án này là thành quả nghiên cứu của bản thân tác giả trong suốt thời gian làm nghiên cứu sinh và chưa từng xuất hiện trong công bố của các tác giả khác. Các kết quả đạt được là chính xác và trung thực. Tác giả luận án Người hướng dẫn khoa học Trần Hoàng Vũ PGS.TS. Phạm Ngọc Nam ii LỜI CẢM ƠN Đầu tiên, tác giả xin gửi lời cảm ơn sâu sắc đến PGS.TS. Phạm Ngọc Nam đã trực tiếp hướng dẫn, định hướng khoa học, dành nhiều thời gian và tâm huyết giúp đỡ tác giả về mọi mặt để hoàn thành luận án. Cảm ơn PGS.TS. Nguyễn Hữu Thanh chủ trì dự án nghị định thư với nước ngoài cấp nhà nước với đề tài: “Nghiên cứu cải thiện mức tiêu hao năng lượng trong các mạng trung tâm dữ liệu dựa trên bài toán lưu lượng (ECODANE)” MÃ SỐ 06/2011/HĐ - NĐT, thời gian thực hiện: 2011-2013, đã tạo điều kiện thuận lợi cho tác giả cùng tham gia. Với cơ sở lý thuyết và hệ thống thực nghiệm của dự án, đã góp phần làm nền tảng cho tác giả phát triển đạt được kết quả tốt trong luận án của mình. Tác giả chân thành cảm ơn Bộ môn Điện tử - Khoa Điện, Trường Cao đẳng Công nghệ - Đại học Đà Nẵng đã tạo mọi điều kiện thuận lợi cho tác giả được tập trung nghiên cứu trong thời gian qua. Chân thành cảm ơn Bộ môn Điện tử & Kỹ thuật máy tính, Viện Điện tử Viễn thông, Viện Đào tạo Sau Đại học, Trường Đại học Bách Khoa Hà Nội đã tạo mọi điều kiện thuận lợi cho nghiên cứu sinh trong suốt quá trình nghiên cứu, học tập và thực hiện luận án. Xin chân thành cảm ơn sự quan tâm, giúp đỡ, động viên của các đồng nghiệp, nhóm Nghiên cứu sinh – Viện Điện tử Viễn thông. Qua đây, tác giả cũng chân thành cảm ơn Quỹ phát triển Khoa học và Công nghệ Việt Nam (NAFOSTED) đã tài trợ kinh phí tham dự hội thảo khoa học quốc tế „ICED‟ 1921.8.2014, Malaysia . Đồng thời tác giả xin gửi lời cảm ơn đến Lab ESRC (Embedded System and Reconfigurable Computing Laboration) tại P.618 Thư viện Tạ Quang Bửu – ĐHBKHN đã cộng tác cùng với tác giả xây dựng hệ thống thử nghiệm cho luận án này. Cuối cùng, tác giả xin bày tỏ lòng biết ơn đến gia đình tác giả : Ba mẹ, anh trai và chị dâu, đã giúp đỡ và hy sinh rất nhiều cho tác giả trong thời gian vừa qua. Đây chính là động lực to lớn để tác giả vượt qua khó khăn và hoàn thành luận án này. Tác giả luận án Trần Hoàng Vũ iii MỤC LỤC LỜI CAM ĐOAN ------------------------------------------------------------------------------------------------I LỜI CẢM ƠN --------------------------------------------------------------------------------------------------- II MỤC LỤC ------------------------------------------------------------------------------------------------------ III DANH MỤC CÁC CHỮ VIẾT TẮT------------------------------------------------------------------------- VII DANH MỤC CÁC HÌNH VẼ --------------------------------------------------------------------------------- IX DANH MỤC CÁC BẢNG BIỂU ---------------------------------------------------------------------------- XII MỞ ĐẦU -------------------------------------------------------------------------------------------------------- 1 1. Năng lượng tiêu thụ của thiết bị mạng trong trung tâm dữ liệu ----------------------- 1 2. Những vấn đề còn tồn tại ------------------------------------------------------------------------- 3 3. Mục tiêu, đối tượng và phạm vi nghiên cứu ------------------------------------------------ 4  Mục tiêu nghiên cứu: --------------------------------------------------------------------------------------4  Đối tượng nghiên cứu: ------------------------------------------------------------------------------------5  Phạm vi nghiên cứu: ----------------------------------------------------------------------------------------5 4. Cấu trúc nội dung của luận án ------------------------------------------------------------------ 5 CHƯƠNG 1 TỔNG QUAN LÝ THUYẾT VÀ CÁC CÔNG NGHỆ SỬ DỤNG TRONG TRUNG TÂM DỮ LIỆU--------------------------------------------------------------------------------------------------------- 7 1.1. Giới thiệu chương ----------------------------------------------------------------------------- 7 1.2. Trung tâm dữ liệu và tầm quan trọng ---------------------------------------------------- 7 1.2.1. Trung tâm dữ liệu ---------------------------------------------------------------------------------------------7 1.2.2. Trung tâm dữ liệu xanh -------------------------------------------------------------------------------------8 1.3. Các kiến trúc mạng của trung tâm dữ liệu ----------------------------------------------- 9 1.3.1. Kiến trúc mạng trung tâm dữ liệu truyền thống-----------------------------------------------9 1.3.2. Kiến trúc Fat-Tree cho trung tâm dữ liệu ------------------------------------------------------- 10 1.4. Kiến trúc mạng ECODANE ------------------------------------------------------------------ 11 1.5. Thuật toán tối ưu đồ hình mạng ECODANE ------------------------------------------ 12 1.6. Các công nghệ phát triển kiến trúc mạng ECODANE -------------------------------- 13 1.6.1. Công nghệ OpenFlow -------------------------------------------------------------------------------------- 13 1.6.2. Bộ điều khiển OpenFlow NOX / POX -------------------------------------------------------------- 17 1.6.3. Công nghệ NetFPGA ---------------------------------------------------------------------------------------- 18 1.6.4. Chuyển mạch OpenFlow trên nền tảng NetFPGA ------------------------------------------ 20 iv 1.7. Tổng kết chương ----------------------------------------------------------------------------- 23 CHƯƠNG 2 -------------------------------------------------------------------------------------------------- 24 CÁC GIẢI PHÁP TIẾT KIỆM NĂNG LƯỢNG CHO CHUYỂN MẠCH OPENFLOW --------------- 24 2.1. Giới thiệu chương --------------------------------------------------------------------------- 24 2.2. Phân bổ năng lượng của chu ển mạch Op nFlo ---------------------------------- 24 2.2.1 Hệ thống đo đạc năng lượng tiêu thụ của Kit NetFPGA-1G --------------------------- 24 2.2.2 Đặc tính năng lượng chi tiết của Kit NetFPGA-1G ------------------------------------------ 26 2.2.2.1. Đặc tính năng lượng cơ bản (năng lượng tiêu thụ tĩnh) -------------------------- 26 2.2.2.2. Đặc tính năng lượng của giao diện mạng------------------------------------------------- 27 2.2.2.3. Đặc tính năng lượng động ------------------------------------------------------------------------ 27 2.2.2.4. Đặc tính năng lượng của các khối chức năng OpenFlow trên nền FPG -- 27 2.2.2.5. Kết quả đo lường-------------------------------------------------------------------------------------- 28 2.3. Giải pháp giảm tần -------------------------------------------------------------------------- 28 2.3.1. Nguyên lý giảm tần ----------------------------------------------------------------------------------------- 29 2.3.2. Thiết kế khối điều khiển tần số CC (Clock Controller) ------------------------------------ 29 2.3.3. Mở rộng bản tin OpenFlow điều khiển giảm tần-------------------------------------------- 30 2.4. Giải pháp điều khiển trạng thái các cổng Eth rn t ---------------------------------- 32 2.4.1. Nguyên lý thay đổi tốc độ liên kết (link_rate) ------------------------------------------------ 32 2.4.2. Mở rộng bản tin OpenFlow điều khiển cổng Ethernet ----------------------------------- 35 2.5. Giải pháp thiết kế chu ển mạch Op nFlo tiết kiệm năng lượng dưới sự điều khiển của NOX / POX------------------------------------------------------------------------ 36 2.5.1. Thiết kế phần cứng chuyển mạch ------------------------------------------------------------------- 36 2.5.2. Thiết kế phần mềm chuyển mạch------------------------------------------------------------------- 38 2.5.2.1. Truyền, nhận và xử lý bản tin từ bộ điều khiển --------------------------------------- 38 2.5.2.2. Xây dựng phần mềm điều khiển -------------------------------------------------------------- 39 2.5.2.3. Định nghĩa chế độ làm việc cho chuyển mạch OpenFLow ----------------------- 41 2.5.2.4. Mở rộng bản tin OpenFlow cho các chế độ làm việc ------------------------------ 42 2.6. Giải pháp thiết kế chu ển mạch Op nFlo tự động tiết kiệm năng lượng th o lưu lượng đầu vào ---------------------------------------------------------------------------- 44 2.6.1. Giải pháp thiết kế -------------------------------------------------------------------------------------------- 44 2.6.2. Thiết kế khối phát hiện dữ liệu trên chuyển mạch OpenFlow ----------------------- 45 2.6.2.1. Vị trí khối phát hiện dữ liệu ---------------------------------------------------------------------- 45 2.6.2.2. Xây dựng khối phát hiện dữ liệu -------------------------------------------------------------- 47 v 2.6.3. Thiết kế khối điều khiển tần số FC (Frequency Controller) ---------------------------- 52 2.6.4. Định nghĩa các chế độ hoạt động cho chuyển mạch OpenFlow--------------------- 54 2.7. Hệ thống đo đạc và kết quả đạt được -------------------------------------------------- 55 2.7.1. Kết quả đo đạc giải pháp giảm tần ----------------------------------------------------------------- 56 2.7.2. Kết quả đo đạc giải pháp thay đổi trạng thái cổng Ethernet -------------------------- 56 2.7.3. Kết quả đo đạc chuyển mạch OpenFlow tiết kiệm năng lượng dựa trên bộ điều khiển NOX (POX) ------------------------------------------------------------------------------------- 57 2.7.4. Kết quả đo và đánh giá chuyển mạch tự động tiết kiệm theo lưu lượng đầu vào -------------------------------------------------------------------------------------------- 58 2.7.4.1. Kết quả đo công suất tiêu thụ của chuyển mạch ------------------------------------- 58 2.7.4.2. Đánh giá khả năng đáp ứng của chuyển mạch mới --------------------------------- 61 2.8. Tổng hợp kết quả đo của các giải pháp đề xuất-------------------------------------- 63 2.9. Tổng kết chương ----------------------------------------------------------------------------- 64 CHƯƠNG 3 -------------------------------------------------------------------------------------------------- 65 MÔ HÌNH HÓA NĂNG LƯỢNG CHO CHUYỂN MẠCH ---------------------------------------------- 65 3.1. Giới thiệu chương --------------------------------------------------------------------------- 65 3.2. Chỉ số tỉ lệ năng lượng tương đối (EPI) ------------------------------------------------ 66 3.3. Mô hình tu ến t nh của công suất tiêu thụ -------------------------------------------- 67 3.4. Xâ dựng thuật toán t nh đư ng biên công suất cực tiểu ------------------------ 68 3.5. Xâ dựng thuật toán t nh đư ng biên công suất cực đại ------------------------- 70 3.6. T nh toán đư ng cong công suất trung bình ------------------------------------------ 71 3.7. Đề xuất chỉ số công suất trung bình (API) --------------------------------------------- 72 3.8. Đánh giá hiệu năng -------------------------------------------------------------------------- 73 3.8.1. Biểu đồ sử dụng năng lượng của chuyển mạch NetFPGA ------------------------------ 73 3.8.2. So sánh hiệu quả sử dụng năng lượng giữa chuyển mạch NetFPGA-1G với chuyển mạch thương mại ------------------------------------------------------------------------------- 74 3.9. Tổng kết chương ----------------------------------------------------------------------------- 78 CHƯƠNG 4 -------------------------------------------------------------------------------------------------- 79 GIẢI PHÁP WOL CHO CHUYỂN MẠCH OPENFLOW ------------------------------------------------ 79 4.1. Giới thiệu chương --------------------------------------------------------------------------- 79 4.2. Định ngh a chế độ Sl 4.3. Thiết kế chức năng WOL cho chu ển mạch Op nFlo ----------------------------- 80 4.3.1. Mở rộng bản tin p cho chu ển mạch Op nFlo ----------------------------- 79 OL ------------------------------------------------------------------------------------- 80 vi 4.3.2. Thiết kế chức năng 4.4. OL cho chuyển mạch ---------------------------------------------------- 82 Kết quả thực nghiệm ------------------------------------------------------------------------ 83 4.4.1. Công suất của chuyển mạch ở hai chế độ sleep --------------------------------------------- 83 4.4.2. Kiểm tra phương pháp 4.5. OL cho chuyển mạch OpenFlow --------------------------- 85 Tổng kết chương ----------------------------------------------------------------------------- 87 KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN ------------------------------------------------------------------- 88 Các điểm đáng chú ý về toàn bộ nội dung luận án ------------------------------------------ 88 Đóng góp khoa học của luận án ------------------------------------------------------------------ 89 Hướng phát triển trong th i gian tới ----------------------------------------------------------- 89 CÁC CÔNG TRÌNH KHOA HỌC ĐÃ CÔNG BỐ CỦA LUẬN ÁN ------------------------------------- 90 Bài báo tạp ch và hội thảo trong và ngoài nước--------------------------------------------- 90 Đề tài nghiên cứu tham gia ------------------------------------------------------------------------ 91 TÀI LIỆU THAM KHẢO ------------------------------------------------------------------------------------- 92 PHỤ LỤC ------------------------------------------------------------------------------------------------------ 92 Phương pháp đo công suất các khối chức năng trong chu ển mạch Op nFlo ----- 91 vii DANH MỤC CÁC CHỮ VIẾT TẮT Từ viết tắt Thuật ngữ tiếng anh Thuật ngữ tiếng việt API Average Power Index Chỉ số công suất trung bình CC Clock Controller Bộ điều khiển tín hiệu Clock CLB Configurable Logic Block Khối logic có thể lập trình được CLKIN Clock input Tín hiệu clock đầu vào CLKFB Clock feedback Tín hiệu clock phản hồi CPU Central Processing Unit Bộ xử lý trung tâm DMA Direct memory access Truy cập bộ nhớ trực tiếp DCM Digital Clock Manager Khối quản lý xung đồng hồ DRAM Dynamic Random Access Memory Bộ nhớ truy cập ngẫu nhiên động DD Data Detector Khối phát hiện dữ liệu D-ITG Distributed Internet Traffic Generator Bộ phát lưu lượng Intrernet ECODANE Reducing Energy Consumption in Data Centre Networks based Cải thiện mức tiêu hao năng lượng trong các mạng trung tâm dữ liệu dựa trên bài toán lưu lượng EPI Energy Proportionality Index Chỉ số năng lượng tương đối FPGA Field Programmable Gate Array Mảng cổng khả trình FC Frequency Controller Điều khiển tần số ICT Information and Communications Technology Công nghệ thông tin và truyền thông IOB Input Output Block Khối vào ra MAC Media Access Control Điều khiển truy nhập thiết bị MDIO Management Data Input/Output Quản lý dữ liệu vào/ra viii MII Media Independent Interface Giao diện tiêu chuẩn độc lập NCD Native Circuit Description Phần mở rộng của file thiết kế NetFPGA Network Field Programmable Gate Array Mảng cổng khả trình mạng RA-TAH Rate Adaptive Topology-Aware Thuật toán tối ưu đồ hình mạng Heuristic OF SW OpenFlow Switch Bộ chuyển mạch OpenFlow PCF Physical Constraint File Phần mở rộng của file ràng buộc vật lý PCI Peripheral Component Interconnect Kết nối thành phần ngoại vi PLD Programmable Logic Device Thiết bị logic có thể lập trình QoS Quality of Service Chất lượng dịch vụ UDP User Data Path Khối lõi chính của chuyển mạch SDN Software-Defined Network Mạng điều khiển bằng phần mềm SRAM Static Random Access Memory Bộ nhớ truy cập ngẫu nhiên tĩnh VCD Value Change Dump Phần mở rộng của file mô phỏng WOL Wake up on LAN Đánh thức qua mạng LAN ix DANH MỤC CÁC HÌNH VẼ Hình 1.1. Mô hình tổng quát của trung tâm dữ liệu ............................................................. 8 Hình 1.2. Năng lượng tiêu thụ trong trung tâm dữ liệu *56] ................................................ 9 Hình 1.3. Kiến trúc cây 2N ................................................................................................... 10 Hình 1.4. Kiến trúc Fat-Tree cấp k=4 ................................................................................... 10 Hình 1.5. Kiến trúc thử nghiệm ECODANE*41] ................................................................... 11 Hình 1.6. Cấu trúc của chuyển mạch OpenFlow *27] ......................................................... 15 Hình 1.7. Các bước khi một flow mới tới bộ chuyển mạch OpenFlow ............................... 16 Hình 1.8. Nền tảng NetFPGA ............................................................................................... 18 Hình 1.9. Sơ đồ khối chi tiết các thành phần trong kit NetFPGA ........................................ 19 Hình 1.10. Cấu trúc đơn giản một dự án với NetFPGA ....................................................... 19 Hình 1.11. Cấu trúc đường ống của các mô đun dự án ...................................................... 20 Hình 1.12. Phần mềm điều khiển giao tiếp với NetFPGA thông qua bus PCI ..................... 20 Hình 1.13. Mô hình đường ống áp dụng cho việc thiết kế phần cứng mạng ..................... 21 Hình 1.14. Sơ đồ hoạt động của khối Output Port Lookup *27] ......................................... 23 Hình 2.1. Kiến trúc kiểm tra đặc tính năng lượng của Kit NetFPGA-1G ............................. 25 Hình 2.2. Hệ thống đo đạc năng lượng của chuyển mạch trên nền tảng NetFPGA ........... 25 Hình 2.3. Sơ đồ khối thành phần của kit NetFPGA-1G ....................................................... 26 Hình 2.4. Đặc tính năng lượng của Kit NetFPGA khi chạy như chuyển mạch OpenFlow ... 28 Hình 2.5. Bốn khối chức năng mới được nhúng trên Core FPGA (khối nét đứt) ................ 30 Hình 2.6. Trường Switch state ............................................................................................ 31 Hình 2.7. Lưu đồ thuật toán điều khiển thay đổi tần số ..................................................... 32 Hình 2.8. Cấu trúc bản tin MDIO ......................................................................................... 34 Hình 2.9. Chức năng các bit trong thanh ghi MII ................................................................ 34 Hình 2.10. Trường Link state mô tả tốc độ liên kết của cổng Ethernet .............................. 35 Hình 2.11. Lưu đồ thuật toán điều khiển thay đổi tốc độ Link-rate ................................... 36 Hình 2.12. Vị trí của khối Clock Controller trong khối User Data Path............................... 37 Hình 2.13. Mô tả tín hiệu vào và ra ở khối CC ..................................................................... 38 Hình 2.14. Sơ đồ mạng OpenFlow đơn giản ....................................................................... 38 Hình 2.15. Sơ đồ hệ thống bộ điều khiển và bộ chuyển mạch OpenFlow *38] .................. 39 Hình 2.16. Khối điều khiển mềm (PC Software) .................................................................. 39 Hình 2.17. Thay đổi tốc độ liên kết cổng dùng bit 6 và bit 13 ............................................ 40 x Hình 2.18. Bật hoặc tắt cổng dùng bit 11 ............................................................................ 40 Hình 2.19. Trường Switch Mode định nghĩa các chế độ hoạt động................................... 43 Hình 2.20. Lưu đồ thuật toán điều khiển các chế độ hoạt động ........................................ 43 Hình 2.21. Hoạt động của chuyển mạch tự động tiết kiệm năng lượng ............................. 45 Hình 2.22. Vị trí khối phát hiện dữ liệu trong chuyển mạch ............................................... 46 Hình 2.23. Thiết kế chi tiết khối phát hiện dữ liệu .............................................................. 47 Hình 2.24. Nguyên lý hoạt động của khối điều kiện hàng đợi ( ueue Condition) ............. 50 Hình 2.25. Sơ đồ chuyển trạng thái của chuyển mạch tiết kiệm năng lượng ..................... 51 Hình 2.26. Nguyên lý hoạt động của khối điều khiển Core Clock ....................................... 52 Hình 2.27. Hệ thống các tín hiệu clock của chuyển mạch OpenFlow ................................. 52 Hình 2.28. Sơ đồ khối FC ..................................................................................................... 53 Hình 2.29. Hệ thống clock được cung cấp bên trong khối nf2_core .................................. 54 Hình 2.30. Mô hình hệ thống đo đạc và kiểm tra ............................................................... 55 Hình 2.31. Hệ thống thực nghiệm đo đạc và kiểm tra ........................................................ 55 Hình 2.32. Năng lượng của chuyển mạch phụ thuộc tần số ............................................... 56 Hình 2.33. Năng lượng tiêu thụ của NetFPGA trong các chế độ hoạt động ....................... 58 Hình 2.34. Lưu lượng đi vào chuyển mạch từ mức 0 đến 1Gb ........................................... 59 Hình 2.35. Đồ thị công suất tiêu thụ của tại các chế độ...................................................... 59 Hình 2.36. Lưu lượng đầu vào số 1 ..................................................................................... 60 Hình 2.37. Lưu lượng đầu vào số 2 ..................................................................................... 60 Hình 2.38. Lưu lượng đầu vào số 3 ..................................................................................... 60 Hình 3.1. Đường biên công suất tại các giá trị tải trên chuyển mạch ................................. 66 Hình 3.2. Chỉ số EPI và API của thiết bị mạng ..................................................................... 73 Hình 3.3. Đặc tính năng lượng của chuyển mạch NetFPGA 4 cổng .................................... 73 Hình 3.4. Liên kết các NetFPGA qua cổng SATA để tạo các chuyển mạch có nhiều cổng .. 75 Hình 3.5. Đặc tính năng lượng của chuyển mạch NetFPGA 8 cổng .................................... 75 Hình 3.6. So sánh công suất tiêu thụ trên HP Enterprise và NetFPGA 16 cổng .................. 76 Hình 3.7. So sánh công suất tiêu thụ trên Pronto và NetFPGA 48 cổng theo lý thuyết ..... 77 Hình 4.1. Bản tin tiêu chuẩn của OL packet .................................................................... 81 Hình 4.2. Cấu trúc của 2 byte mở rộng cho bảng tin OL packet ..................................... 81 Hình 4.3. Sơ đồ khối tách gói tin WOL Packet .................................................................... 82 Hình 4.4. Sơ đồ thuật toán khối phát hiện gói tin OL packet ......................................... 83 Hình 4.5. Hệ thống thực nghiệm để đo lường công suất chuyển mạch ............................. 84 xi Hình 4.6. Hệ thống Testbed kiểm tra chức năng OL ....................................................... 85 Hình 4.7. Hệ thống thực nghiệm cho chức năng OL ....................................................... 85 Hình 4.8. Theo dõi trạng thái của chuyển mạch khi Hình 4.9. Byte mở rộng để ake up cổng 0 ................................ 86 ake up chuyển mạch ........................................................... 86 Hình 4.10. Theo dõi trạng thái hoạt động khi ake up chuyển mạch ............................... 86 xii DANH MỤC CÁC BẢNG BIỂU Bảng 1.1. Cấu tạo một bảng ghi........................................................................................... 16 Bảng 2.1. Bản tin OFPT_SWITCH_MOD giảm tần ............................................................... 31 Bảng 2.2. Các mức tần số khác nhau ................................................................................... 31 Bảng 2.3. Chức năng từng bit của thanh ghi điều khiển MII ............................................... 33 Bảng 2.4. Bản tin OFPT_PORT_MOD ................................................................................. 35 Bảng 2.5. Các chế độ hoạt động mới của chuyển mạch...................................................... 42 Bảng 2.6. Bản tin OFPT_Switch_mode cho 3 chế độ hoạt động ....................................... 43 Bảng 2.7. Mô tả chức năng các tín hiệu đầu của khối System States ............................... 48 Bảng 2.8. Nhóm tín hiệu báo hiệu trạng thái của các khối.................................................. 49 Bảng 2.9. Công suất tiêu thụ của chuyển mạch khi giảm tần.............................................. 56 Bảng 2.10. Thay đổi tốc độ link-rate của cổng Ethernet ..................................................... 57 Bảng 2.11. Năng lượng tiêu thụ trên cổng Ethernet ........................................................... 57 Bảng 2.12. Công suất tiêu thụ của chuyển mạch ứng với các chế độ hoạt động ............... 58 Bảng 2.13. Công suất tiêu thụ trung bình của tại các chế độ .............................................. 59 Bảng 2.14. Năng lượng tiêu thụ tại các chế độ trong 15 phút ............................................ 61 Bảng 2.15. Đánh giá thời gian trễ và mất gói tin so với chuyển mạch thường .................. 63 Bảng 2.16. Đặc tính chi tiết về công suất tiêu thụ của Kit NetFPGA-1G ............................. 63 Bảng 3.1. Công suất tiêu thụ của chuyển mạch hypothetical 4-Cổng ................................ 69 Bảng 3.2. Tất cả các trạng thái có thể xảy ra của chuyển mạch có 4 cổng với 4 chế độ làm việc trên mỗi cổng............................................................................................... 71 Bảng 3.3. Đặc tính công suất của chuyển mạch Tier-2 HP Enterprise [56] ......................... 76 Bảng 3.4. Đặc tính công suất của chuyển mạch Pronto 3240 [55] ...................................... 77 Bảng 3.5. Chỉ số tiết kiệm công suất của các chuyển mạch khác nhau ............................... 78 Bảng 4.1. Trạng thái của cổng 0........................................................................................... 81 Bảng 4.2. Thay đổi tần số hoạt động của chuyển mạch ...................................................... 82 Bảng 4.3. Công suất tiêu thụ của chuyển mạch với các chế độ sleep khác nhau ............... 84 1 MỞ ĐẦU 1. Năng lƣợng tiêu thụ của thiết bị mạng trong trung tâm dữ liệu Ngày nay, những ứng dụng của hệ thống mạng như điện toán đám mây, mạng xã hội hay dịch vụ đa phương tiện đã trở nên rất phổ biến. Sự gia tăng về lưu lượng trong mạng Internet cũng như trong các trung tâm dữ liệu, năng lượng cần thiết để vận hành cơ sở hạ tầng mạng lõi và hệ thống trung tâm dữ liệu cũng tăng lên đáng kể. Theo nghiên cứu, các trung tâm dữ liệu điều tra dân số toàn cầu Dynatmics 2012 cho thấy, năng lượng tiêu thụ của các trung tâm dữ liệu giữa các năm 2011 và 2012 trên toàn cầu tăng 63% [65]. Trong nghiên cứu của Baliga và các cộng sự [4], năng lượng tiêu thụ của mạng Internet toàn cầu sẽ tăng rất nhanh trong thời gian tới (2010 - 2020). Trong đó các thiết bị mạng chiếm từ 20% đến 30% năng lượng tiêu thụ [19][56]. Chi phí năng lượng cho trung tâm dữ liệu chiếm 44% tổng chi phí hoạt động [58]. Đồng thời, với mức tiêu thụ năng lượng rất lớn, các trung tâm dữ liệu đang thải ra khoảng từ 2% đến 4% lượng khí thải cacbon, với đà phát triển công nghiệp hiện nay, con số đó có thể tăng gấp đôi vào khoảng năm 2020 [58][54]. Một trong những nguyên nhân của tình trạng trên là do mạng Internet nói chung cũng như các trung tâm dữ liệu nói riêng được thiết kế để có thể chịu tải tại giờ cao điểm ban ngày và ban đêm khi lưu lượng tải đạt giá trị cực đại. Tuy nhiên tại các khoảng thời gian còn lại (từ 0am – 6am), lúc này lưu lượng trên mạng thường thấp hơn nhiều so với lưu lượng tối đa [19][40]. Mặt khác các thiết bị mạng hiện nay được thiết kế để có khả năng xử lý tối đa lượng tải tại mọi thời điểm. Điều này dẫn đến hiệu năng về mặt năng lượng của các thiết bị mạng hiện nay khá thấp. Theo công trình nghiên cứu của Neilson [37], trong vòng 18 tháng lưu lượng của một bộ định tuyến tăng khoảng 2,5 lần, lưu lượng trên mạng Internet tăng 2 lần, trong khi đó độ tiết kiệm năng lượng của bộ định tuyến chỉ tăng 1,65 lần. Vấn đề này, có thể thấy rằng các thiết bị mạng hiện nay nhìn chung khả năng tiết kiệm năng lượng chưa theo kịp tốc độ tăng lưu lượng sử dụng ở trung tâm dữ liệu. Ngoài ra, năng lượng tiêu thụ của các thiết bị mạng hiện tại khá tĩnh, nghĩa là năng lượng tiêu thụ của thiết bị trong trạng thái tải thấp, hoặc trạng thái nghỉ cao gần bằng năng lượng tiêu thụ trong trường hợp tải cao. Hầu hết các thiết bị mạng hiện nay không sử dụng năng lượng một cách hiệu quả. Công trình nghiên cứu của của Mahadevan [48] và Heller [19], đã đưa ra khái niệm về tỉ lệ năng lượng (EPI), trong đó nói rằng một thiết bị mạng được gọi là tiết kiệm năng lượng nếu năng lượng tiêu thụ của nó tỉ lệ thuận với lưu lượng 2 đang sử dụng. Một nhược điểm của EPI là chỉ phản ánh được sự khác nhau giữa năng lượng tiêu thụ của chế độ hoạt động hết công suất so với chế độ nghỉ. EPI không chỉ ra được rõ ràng mức năng lượng tiêu thụ và năng lượng tiết kiệm tại một mức lưu lượng được sử dụng nhất định. Hiện nay, có một số phương pháp nghiên cứu năng lượng tiêu thụ hợp lý cho chuyển mạch trong trung tâm dữ liệu [8]. Về cơ bản, phần lớn các phương pháp này được phân loại như sau: (1) Tái thiết kế (Re-engineering): Tái thiết kế là phương pháp tiếp cận nhằm mục đích khai thác hiệu quả năng lượng nhiều hơn nữa nhờ thiết kế lại các công nghệ bên trong các kiến trúc của thiết bị mạng, bao gồm công nghệ bán dẫn mới, công nghệ lưu trữ mới cho các công cụ xử lý gói tin hay các công nghệ giao diện mới cho các đường dẫn mạng [20][21][51][3]][57]. Trong [21][5], các tác giả đưa ra một phương pháp để thay thế điện tử bằng quang học do thành phần quang học tiêu thụ năng lượng ít hơn điện tử. Một nghiên cứu khác đưa ra một phương pháp để giảm bớt độ phức tạp của kiến trúc chuyển mạch. Ví dụ, Congdon và cộng sự [47] đề xuất khái niệm đổi mới dự đoán luồng dữ liệu để giảm bớt năng lượng tiêu thụ nhằm bỏ qua quá trình tìm kiếm đường đi đầy đủ của gói tin bên trong chuyển mạch. (2) Tương thích động (Dynamic adaptation): Sự thích ứng động của các thiết bị nguồn [36][11][7][9] được thiết kế để hiệu chỉnh khả năng của các công cụ xử lý gói tin và giao diện mạng nhằm đáp ứng đúng tải thực tế. Điều đó có thể thực hiện bằng việc sử dụng hai hướng được liệt kê sau: (1) Phân mức năng lượng cho phép giảm tốc độ làm việc của thiết bị xử lý hoặc giao diện kết nối. (2) trạng thái nghỉ vậy lý cho phép giảm năng lượng tiêu thụ bằng cách tắt bớt các khối con khi không có hoạt động nào được thực hiện, và đánh thức các khối con đó khi hệ thống có một yêu cầu mới. (3) Chế độ nghỉ thông minh (Smart sleeping/standby): Chế độ nghỉ thông minh tập trung tiếp cận vào việc cho phép mạng và thiết bị sử dụng có thể chuyển qua chế độ tiêu thụ ít năng lượng, trạng thái gọi là idle và trong cùng quãng thời gian đó vẫn có thể đáp ứng được các yêu cầu từ mạng [6][10][12]. Xuất phát từ nhiều góc nhìn khác nhau về các phương pháp tiếp cận khác nhau của các kiến trúc cũng như các thiết bị chuyển mạch có khả năng tiết kiệm năng lượng, ta nhận ra được rằng việc phân tích và thiết kế các hệ thống tiết kiệm năng lượng lớn và phức tạp thường thiếu sự phát triển phần cứng và kiểm tra trên môi trường thực tế. Trong nhiều cách tiếp cận, chuyển mạch NetFPGA [38][29] là nền tảng phần cứng cung cấp cho các nhà 3 nghiên cứu mạng một phương thức nghiên cứu nhanh chóng các thử nghiệm hay các thay đổi mới. Hơn nữa, các bộ chuyển mạch NetFPGA hoàn toàn hỗ trợ công nghệ OpenFlow [34], một công nghệ mạng điều khiển bằng phần mềm SDN (Software Defined Networking) [53] cho phép phân cách luồng tin điều khiển và luồng dữ liệu. OpenFlow cung cấp một sự linh hoạt để điều khiển và tối ưu hóa năng lượng tiêu thụ không chỉ trong một chuyển mạch mà còn đối với toàn bộ mạng lưới. Đặc tính năng lượng của các bộ chuyển mạch NetFPGA lần đầu tiên được phân tích bởi Sivaraman và cộng sự trong [52], giới thiệu mô hình năng lượng cho chuyển mạch NetFPGA và tiến hành thí nghiệm cho phép phân tích năng lượng tiêu thụ trong Kit NetFPGA theo mức gói tin (packet) và byte. Trong [32], điện năng tiêu thụ của NetFPGA dưới hai tần số, cụ thể là 125MHz là tần số hoạt động thường xuyên và tần số 62.5MHz được nghiên cứu. Một phát hiện quan trọng là điện năng tiêu thụ của các chuyển mạch được giảm đáng kể ở tần số 62.5MHz. Ngoài ra, sự phụ thuộc năng lượng vào tốc độ dữ liệu và kích thước gói đã được chỉ ra ở [62]. Một số nghiên cứu để giảm năng lượng tiêu thụ bằng cách điều chỉnh các tốc độ liên kết (link rate) của NetFPGA tương ứng với lưu lượng bằng cách thay đổi tần số hoạt động. Trong [63], một khối giám sát tần số được sử dụng trong chip FPGA để tạo ra sáu tần số đồng hồ khác nhau, từ 3.096MHz đến 125MHz. Mặt khác, [18] đề xuất một phương pháp tiết kiệm năng lượng bằng cách thay đổi tần số của giao diện mạng (nhưng không phải là lõi FPGA) dựa trên chiều dài hàng đợi các gói tin đến. Trong các nghiên cứu [40][42][41], đề xuất một hệ thống thử nghiệm ECODANE (Reducing Energy COnsumption in DAta Centre NEtworks based on Traffic Engineering) nhằm tiết kiệm năng lượng cho trung tâm dữ liệu. Hệ thống này là sự kết hợp của các nút ảo và các bộ chuyển mạch OpenFlow trên nền tảng NetFPGA-1G. Một thuật toán tối ưu hóa năng lượng RA-TAH (Rate - Adaptive Topology - Aware Heuristic) [42] tối ưu hóa năng lượng tiêu thụ tổng thể của mạng bằng cách kết hợp chế độ ngủ thông minh thích ứng với tốc độ trên chuyển mạch bằng cách thay đổi tần số đồng hồ của NetFPGA liên quan đến việc sử dụng lưu lượng truy cập của chuyển mạch. 2. Những vấn đề còn tồn tại Trong số các công trình nghiên cứu được công bố nói trên, ta nhận ra rằng:  Khó có thể tìm thấy những công trình mang lại cho ta thấy chi tiết về năng lượng tiêu thụ của các thành phần bên trong NetFPGA. Để thiết kế lại các bộ chuyển mạch có khả năng tiết kiệm năng lượng thì hiệu suất năng lượng của 4 các thành phần bên trong các bộ chuyển mạch NetFPGA hiện nay cần được nghiên cứu chi tiết hơn.  Các phương pháp để cải thiện hiệu quả năng lượng được đề xuất trong các công trình công bố trên vẫn còn dưới mức tối ưu bởi vì trong hầu hết kết quả nghiên cứu thích ứng tần số được áp dụng cho một số khối chức năng nhưng không phải cho toàn bộ hệ thống.  Chưa có chỉ số đánh giá rõ ràng mức năng lượng tiêu thụ và năng lượng tiết kiệm tại một mức lưu lượng được sử dụng nhất định. Qua khảo sát về các công trình nghiên cứu trên về tiết kiệm năng lượng trong trung tâm dữ liệu. Ta thấy rằng công trình nghiên cứu về tiết kiệm năng lượng cho thiết bị mạng hiện nay chưa được quan tâm đúng mức và không có nhiều công trình được công bố trên các tạp chí, hội thảo khoa học trong nước và quốc tế. Mặc dù năng lượng tiêu thụ của các thiết bị mạng chiếm không nhỏ khoảng từ 20% đến 30% năng lượng tiêu thụ [19][56] trong trung tâm dữ liệu. Vì vậy, việc “Nghiên cứu giải pháp tiết kiệm năng lượng trong thiết kế chuyển mạch sử dụng ở trung tâm dữ liệu” đã trở thành đề tài mang tính thời sự. Việc giảm năng lượng tiêu thụ của chuyển mạch sẽ dẫn đến giảm chi phí hoạt động trong trung tâm dữ liệu, mang lại lợi ích cho cả các nhà đầu tư lẫn người dùng với chi phí dịch vụ giảm, không những thế giảm năng lượng tiêu thụ còn mang lợi ích to lớn cho môi trường, giảm hiệu ứng nhà kính. 3. Mục tiêu, phạm vi, đối tƣợng và phƣơng pháp nghiên cứu  Mục tiêu nghiên cứu:  Đề xuất các giải pháp tiết kiệm năng lượng và thiết kế chuyển mạch mới có chức năng tiết kiệm năng lượng theo bộ điều khiển NOX hoặc POX. Đề xuất giải pháp thiết kế một chuyển mạch tự động tiết kiệm năng lượng theo lưu lượng đầu vào.  Đề xuất các thuật toán về giới hạn nhỏ nhất, lớn nhất và trung bình của năng lượng tiêu thụ trên các thiết bị mạng dưới lưu lượng tải khác nhau. Đề xuất chỉ số công suất trung bình API (Average Power Index) để đánh giá tỉ lệ năng lượng tiết kiệm.  Đề xuất giải pháp WOL (Wake on Lan) cho chuyển mạch OpenFlow giúp cho việc dễ dàng quản lý và tăng khả năng điều khiển linh hoạt cho chuyển mạch OpenFlow trong trường hợp hệ thống trung tâm dữ liệu có quy mô lớn hoặc khi sự cố xảy ra. 5  Phạm vi nghiên cứu:  Nghiên cứu các giải pháp tiết kiệm năng lượng và chức năng WOL cho chuyển mạch OpenFlow trên nền tảng NetFPGA, triển khai trên hệ thống thực nghiệm bao gồm bộ điều khiển NOX /POX, bộ phát và thu lưu lượng và sử dụng board PCIEXT-64UB để đo đạc, đánh giá năng tiết kiệm được cho chuyển mạch.  Mô hình hóa năng lượng tiêu thụ của chuyển mạch và đưa ra thuật toán tối ưu cho NetFPGA-1G (4 cổng) trong thực nghiệm, đồng thời đánh giá thuật toán bằng Matlab trên các mô hình năng lượng của chuyển mạch NetFPGA mở rộng có số cổng lớn hơn 16.  Nội dung của luận án chỉ tập trung nghiên cứu các giải pháp tiết kiệm năng lượng tiêu thụ cho chuyển mạch OpenFlow. Các kết quả đạt được của luận án được các đồng nghiệp sử dụng đánh giá mức năng lượng tiêu thụ trên toàn mạng trong kiến trúc thử nghiệm ECODANE.  Đối tƣợng và phƣơng pháp nghiên cứu:  Tập trung vào kiến trúc chuyển mạch OpenFlow [27] trên nền tảng Kit NetFPGA1G [38], và bản tin điều khiển OpenFlow được phát triển đầu tiên bởi Đại học Standford [22]  Tập trung nghiên cứu các thuật toán thuật mô hình hóa năng lượng cho chuyển mạch OpenFlow.  Phương pháp nghiên cứu lý thuyết kết hợp thực nghiệm. 4. Cấu trúc nội dung của luận án Nội dung của luận án bao gồm 4 chương. Giới thiệu lý thuyết tổng quan, và vấn đề tiết kiệm năng lượng trong trung tâm dữ liệu được trình bày ở Chương 1. Toàn bộ đóng góp khoa học của luận án thể hiện ở các nội dung đề xuất và thực hiện trong Chương 2, Chương 3, Chương 4. Chương 1. Tổng quan lý thuyết và các công nghệ sử dụng trong trung tâm dữ liệu Chương này sẽ trình bày khái quát về tầm quan trọng, đặc điểm kiến trúc mạng trung tâm dữ liệu cũng như khái niệm về trung tâm dữ liệu xanh. Ngoài ra, chương này cũng giới thiệu về kiến trúc mạng ECODANE là một kiến trúc mới dựa trên công nghệ mạng điều khiển bằng phần mềm SDN cho phép tuỳ biến và bổ sung các chức năng mới vào mạng một cách nhanh chóng và mềm dẻo. Kiến trúc mạng ECODANE kết hợp với thuật toán tối ưu RA-TAH để tiết kiệm năng lượng cho trung tâm mạng. Qua chương này sẽ giúp người 6 đọc có một cái nhìn tổng quan về mục tiêu mà luận án hướng tới, cùng với đó là một xu hướng phát triển của mạng trong tương lai. Chương 2. Các giải pháp tiết kiệm năng lượng cho chuyển mạch OpenFlow Chương này xây dựng hệ thống đo đạc năng lượng tiêu thụ của từng khối chức năng trong kit NetFPGA-1G. Từ đó giúp cho ta có quyết định tốt hơn khối nào nên được cắt giảm điện năng nhằm tối ưu năng lượng tiêu thụ cho chuyển mạch. Với kết quả tối ưu về năng lượng cho các khối trong chuyển mạch, các giải pháp nhằm tiết kiệm năng lượng cho chuyển mạch OpenFlow được đề xuất. Dựa trên các giải pháp tiết kiệm năng lượng được đưa ra, chương này đề xuất các giải pháp thiết kế một chuyển mạch mới có chức năng tiết kiệm năng lượng dựa theo bộ điều khiển NOX / POX. Ngoài ra, thiết kế chuyển mạch tự động tiết kiệm năng lượng dựa theo lưu lượng đầu vào cũng được đề xuất trong chương 2. Chương 3. Phương pháp mô h nh h a năng lượng cho chuyển mạch Chương này trình bày mô hình hóa điện năng tiêu thụ của một chuyển mạch. Ngoài ra chương này đã chứng minh rằng chỉ số năng lượng tương đối EPI là chưa đủ để mô tả đặc tính tiêu thụ năng lượng của thiết bị mạng. Đặc biệt, EPI không thể sử dụng để nghiên cứu đặc tính tiêu thụ năng lượng của thiết bị theo lưu lượng đầu vào. Vì vậy trong chương này đã đề xuất xây dựng: thuật toán giới hạn năng lượng tối đa và thuật toán giới hạn năng lượng tối thiểu cũng như năng lượng sử dụng trung bình, chỉ số công suất trung bình API để đánh giá tỉ lệ năng lượng tiết kiệm khi lưu lượng đầu vào tốt hơn chỉ số EPI. Chương 4. Giải pháp WOL cho chuyển mạch OpenFlow Chương này đề xuất thêm chức năng mới WOL cho chuyển mạch OpenFlow. Việc điều khiển đánh thức (Wake up) chuyển mạch sẽ được thực hiện từ xa thông qua cách gửi bản tin điều khiển “Magic packet” hoặc gói tin "WOL packet" qua đường mạng LAN. Giải pháp này rất cần thiết giúp cho việc dễ dàng quản lý và tăng khả năng điều khiển linh hoạt cho chuyển mạch OpenFlow trong trường hợp hệ thống trung tâm dữ liệu có quy mô lớn hoặc khi có sự cố xảy ra.
- Xem thêm -

Tài liệu liên quan

Tài liệu xem nhiều nhất