Đăng ký Đăng nhập
Trang chủ Nghiên cứu giải pháp phần cứng tiết kiệm năng lượng trong trung tâm dữ liệu...

Tài liệu Nghiên cứu giải pháp phần cứng tiết kiệm năng lượng trong trung tâm dữ liệu

.PDF
133
133
91

Mô tả:

TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI VIỆN ĐIỆN TỬ VIỄN THÔNG ====o0o==== ĐỒ N TỐT NGHIỆP Đ I H C ĐỀ TÀI: NGHIÊN CỨU GIẢI PHÁP PHẦN CỨNG TIẾT KIỆM NĂNG LƯỢNG TRONG TRUNG TÂM DỮ LIỆU Sinh viên thực hiện : LÊ THÁI HƯNG NGUYỄN DUY LINH LÊ ANH VĂN Lớp KSTN- ĐTVT- K52 Giảng viên hướng dẫn : TS TRẦN NGỌC LAN TS. TRẦN MINH TRUNG TS. NGUYỄN XUÂN DŨNG H N i 06-2012 TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI VIỆN ĐIỆN TỬ VIỄN THÔNG ====o0o==== ĐỒ N TỐT NGHIỆP Đ I H C ĐỀ TÀI: NGHIÊN CỨU GIẢI PHÁP PHẦN CỨNG TIẾT KIỆM NĂNG LƯỢNG TRONG TRUNG TÂM DỮ LIỆU Sinh viên thực hiện : LÊ THÁI HƯNG NGUYỄN DUY LINH LÊ ANH VĂN Lớp KSTN- ĐTVT- K52 Giảng viên hướng dẫn : TS TRẦN NGỌC LAN TS. TRẦN MINH TRUNG TS. NGUYỄN XUÂN DŨNG C n ộ phản iện : H N i 06-2012 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI -------------------------------------------------- CỘNG HÒA XÃ HÔI CHỦ NGHĨA VIỆT NAM Độc lập - Tự do - Hạnh phúc --------------------------------- NHIỆM VỤ ĐỒ ÁN TỐT NGHIỆP Họ và tên sinh viên: Lê Thái Hưng Khoá: K52 Số hiệu sinh viên: 20072451 Nguyễn Duy Linh Số hiệu sinh viên: 20071721 Lê Anh Văn Số hiệu sinh viên: 20073425 Viện: Điện tử - Viễn thông Ngành: Điện tử-Viễn thông 1. Đầu đề đồ án: ………………………………………………..……………………………………………………………………… ……………………………………………………………………………………………………………..………... 2. Các số liệu và dữ liệu ban đầu: ……………………………………..……………………………………………..……..…………………………… ………………………………………………………………………………………………………………………………. …..………………………..……………………………………………………………………………………. 3. Nội dung các phần thuyết minh và tính toán: ………………………………………………………………………………………………………………..….…………… ………………………………………………………………………………………………………………………..….…… ……………………………………………………………………………………………………………………………… …..….…………………………………………………………………………………………… 4. Các bản vẽ, đồ thị ( ghi rõ các loại và kích thước bản vẽ ): ………………………………………………………………………………………………………………………..….…… ……………………………………………………………………………………………………………………..……….… ………………………………………………………………………………………………………. 5. Họ tên giảng viên hướng dẫn: TS. Trần Ngọc Lan TS. Trần Minh Trung TS. Nguyễn Xuân Dũng 6. Ngày giao nhiệm vụ đồ án: ………………………………………………….…………… 7. Ngày hoàn thành đồ án: ………………………………………………………………………..……… Ngày Chủ nhiệm Bộ môn Sinh viên đã hoàn thành và nộp đồ án tốt nghiệp ngày tháng năm Giảng viên hướng dẫn th ng năm Cán b phản biện BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI --------------------------------------------------- BẢN NHẬN XÉT ĐỒ ÁN TỐT NGHIỆP Họ và tên sinh viên: .....................................................................Số hiệu sinh viên: ........................... Ngành: ..........................................................................................Khoá: ........................................... Giảng viên hướng dẫn: ..................................................................................................................... Cán bộ phản biện: ................................................................................................................................. 1. N i dung thiết kế tốt nghiệp: ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ................ 2. Nhận xét của cán b phản biện: ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... ............................................................................................................................................................... .................................... Ngày th ng Cán b phản biện ( Ký, ghi rõ họ và tên ) năm ĐỒ ÁN TỐT NGHIỆP 2012 LỜI NÓI ĐẦU Ngày nay, các trung tâm dữ liệu đóng vai trò quan trọng trong các hoạt động thường ngày của các tổ chức như trường học, doanh nghiệp, viện nghiên cứu. Những trung tâm dữ liệu này cùng với sự phát triển không ngừng của xã hội đang tăng lên nhanh chóng về cả quy mô và số lượng. Số lượng máy chủ trong mỗi trung tâm dữ liệu đang tăng lên rất nhanh chóng để đ p ứng nhu cầu trao đổi thông tin mọi người trong xã hội. Do đó một điều tất yếu là số lượng các thiết bị chuyển mạch để kết nối các máy chủ lại với nhau cũng phải tăng lên. Điều này làm cho nhu cầu về điện năng tiêu thụ bởi các trung tâm dữ liệu ngày càng tăng lên tỉ lệ với kích thước của c c trung tâm này. Và ài to n được đặt ra cho các nhà nghiên cứu và các nhà phát triển là làm sao có thể giảm tối đa lượng điện năng tiêu thụ của các trung tâm dữ liệu này mà khả năng xử lý thông tin vẫn được đảm bảo. Từ yêu cầu thực tế trên, nhóm tác giả đã nghiên cứu và chế tạo thành công thiết bị điểu khiển giúp tiết kiệm năng lượng cho các bộ chuyển mạch trong trung tâm dữ liệu và cũng đã chế tạo thành công các bộ chuyển mạch có khả năng tự tiết kiệm năng lượng. Những kết quả đạt được đã và đang mở ra một hướng đi mới rất khả thi cho việc phát triển, sản xuất đại trà các thiết bị mạng tiết kiệm năng lượng cũng như giúp c c nhà quản lý trung tâm dữ liệu theo dõi công suất tiêu thụ của toàn trung tâm dữ liệu, mức tiết kiệm năng lượng. Sau một thời gian lao động miệt mài, nhóm tác giả đã hoàn thành đồ án với đề tài:“Nghiên cứu giải pháp phần cứng tiết kiệm năng lượng cho các trung tâm dữ liệu” Nhờ những cố gắng không ngừng, tác giả đã gặt h i được một số kết quả nhất định. Mặc dù vậy, do thời gian có hạn nên một số ý tưởng vẫn chưa được thực hiện và kết quả nghiên cứu không tránh khỏi một vài sai sót nhỏ. Vì vậy, tác giả rất mong nhận được ý kiến đóng góp của các thầy cô giáo và bạn bè. Tác giả xin được gửi lời cảm ơn chân thành, sâu sắc tới:  Cô giáo TS. Trần Ngọc Lan  Thầy giáo TS. Trần Minh Trung NETFPGA GROUP-KSTN-ĐTVT-K52 i ĐỒ ÁN TỐT NGHIỆP 2012  Thầy giáo TS. Nguyễn Xuân Dũng  Thầy giáo TS. Phạm Ngọc Nam  Thầy giáo PGS.TS. Nguyễn Hữu Thanh  Cô gi o TS. Trương Thu Hương  Các bạn K51, K52, K53 trong nhóm OpenFlow  Tập thể lớp KSTN-ĐTVT-K52  Viện Điện Tử - Viễn Thông, trường ĐH B ch Khoa Hà Nội  Cùng toàn thể gia đình và ạn bè đã giúp đỡ và tạo mọi điều kiện thuận lợi cho tác giả trong quá trình nghiên cứu. Đồ án được thực hiện trong khuôn khổ của dự án “Giảm điện năng tiêu thụ của mạng cơ sở dữ liệu dựa trên kĩ thuật lưu lượng (ECODANE)“ do PGS.TS. Nguyễn Hữu Thanh chủ trì. Sinh viên thực hiện: LÊ TH I HƯNG NGUYỄN DUY LINH LÊ ANH VĂN NETFPGA GROUP-KSTN-ĐTVT-K52 ii ĐỒ ÁN TỐT NGHIỆP 2012 TÓM TẮT ĐỒ ÁN “Nghiên cứu giải pháp phần cứng tiết kiệm năng lượng cho các trung tâm dữ liệu” Trung tâm dữ liệu đóng một vai trò quan trọng trong các hoạt động thường ngày của mọi người. Sự mở rộng không ngừng cả về phạm vi và quy mô của các trung tâm dữ liệu dẫn đến thực trạng mức tiêu thụ năng lượng quá lớn. Các ảnh hưởng của điều này không chỉ về giá cả mà còn về môi trường khi lượng khí độc hại thải ra từ các trung tâm dữ liệu là một vấn đề rất đ ng quan tâm. Một trong những giải ph p được đưa ra đó là điều khiển một cách thông minh việc tiêu thụ năng lượng của các bộ chuyển mạch được sử dụng trong các trung tâm dữ liệu. Nhóm tác giả thực hiện đồ n đã đưa ra c c cải tiến cho các bộ chuyển mạch OpenFlow nhằm mục đích hỗ trợ các chế độ tiết kiệm năng lượng khác nhau. Sự cải tiến này bao gồm định nghĩa c c ản tin mới theo chuẩn giao thức OpenFlow, thiết kế bộ điều khiển các chuyển mạch OpenFlow (OSC) có khả năng ật tắt các bộ chuyển mạch và c c port. Hơn nữa, nhóm tác giả đã tích hợp các cải tiến này lên chính các bộ chuyển mạch OpenFlow trên nền tảng phần cứng khả trình NetFPGA trong khuôn khổ dự án ECODANE[1]. Các kết quả được trình ày trong đồ án này có thể được sử dụng bởi các nhà sản xuất chuyển mạch OpenFlow hoặc những nhà nghiên cứu về năng lượng tiêu thụ của các thiết bị mạng. NETFPGA GROUP-KSTN-ĐTVT-K52 iii ĐỒ ÁN TỐT NGHIỆP 2012 ABSTRACT “Reseaching hardware solution for energy saving in Data centers” Data centers play an important role in our daily activities. The increasing demand for data centers in both scale and size has led to huge energy consumption. The cost and environmental impact of data centers increases due to large amounts of carbon emissions. One solution to this problem is to intelligently control the power consumption of switches used in data centers. This thesis proposes an extension to OpenFlow switches to support different power saving modes. The extension includes defining new messages in OpenFlow standard and designing an OpenFlow Switch Controller (OSC) that is able to turn on/off switches and disable/enable ports. Furthermore, the extension is integrated into the NetFPGA based OpenFlow switches in the ECODANE[1] framework. That brings an opportunity of producing self-power aware OpenFlow switches. The results presented in this thesis can aslo be used by the OpenFlow compliant switches manufacturer or by power aware research community. NETFPGA GROUP-KSTN-ĐTVT-K52 iv ĐỒ ÁN TỐT NGHIỆP 2012 MỤC LỤC LỜI NÓI ĐẦU ........................................................................................................... i TÓM TẮT ĐỒ N .................................................................................................. iii ABSTRACT ............................................................................................................. iv MỤC LỤC ..................................................................................................................v DANH MỤC HÌNH VẼ .......................................................................................... ix DANH MỤC BẢNG BIỂU ..................................................................................... xi C C THUẬT NGỮ VIẾT TẮT ............................................................................ xii PHẦN MỞ ĐẦU ........................................................................................................1 Chương 1. Vấn đề tiết kiệm năng lượng trong trung tâm dữ liệu v giải pháp sử dụng mạng OpenFlow ..........................................................................................2 1.1 Vấn đề tiết kiệm năng lượng cho trung tâm dữ liệu ............................. 2 1.2 Giải ph p sử dụng mạng OpenFlow ..................................................... 6 1.2.1 Sự cần thiết cho một kiến trúc mạng mới ...................................... 6 1.2.2 Mục tiêu của mạng OpenFlow ....................................................... 7 1.2.3 Giao thức OpenFlow ...................................................................... 9 1.2.4 Lợi ích của mạng SDN dựa trên giao thức OpenFlow ................ 11 1.3 Kết luận chương ................................................................................. 13 Chương 2. Ứng dụng mạng OpenFlow trong trung tâm dữ liệu trên nền tảng NetFPGA ..................................................................................................................15 2.1 Giới thiệu công nghệ mạch tổ hợp FPGA .......................................... 15 2.1.1 C c công nghệ mạch tổ hợp ......................................................... 15 2.1.2 Ứng dụng của FPGA .................................................................... 16 2.1.3 Kiến trúc của FPGA ..................................................................... 17 2.1.4 C c ước thiết kế với FPGA của Xilinx ...................................... 21 2.1.5 Phần mềm ISE .............................................................................. 23 2.2 Chuyển mạch OpenFlow trên nền tảng kit NetFPGA ........................ 24 NETFPGA GROUP-KSTN-ĐTVT-K52 v ĐỒ ÁN TỐT NGHIỆP 2012 2.2.1 Kiến trúc ộ chuyển mạch OpenFlow.......................................... 24 2.2.2 Giới thiệu về NetFPGA ................................................................ 26 2.2.3 Làm việc với một dự n NetFPGA .............................................. 30 2.2.4 Xây dựng ộ chuyển mạch OpenFlow trên nền tảng NetFPGA .. 34 2.3 Kết luận chương ................................................................................. 38 Chương 3. Triển khai hệ thống mạng OpenFlow trên nền tảng kit NetFPGA trong thực tế.............................................................................................................39 3.1 Giới thiệu mô hình thử nghiệm .......................................................... 39 3.2 NOX controller ................................................................................... 40 3.3 Xây dựng chuyển mạch OpenFlow .................................................... 44 3.3.1 Cài đặt c c gói phần mềm cơ ản ................................................ 44 3.3.2 Biên dịch và tải Driver xuống NetFPGA ..................................... 49 3.3.3 Cài đặt NetFPGA OpenFlow switch ............................................ 50 3.3.4 Xây dựng mạng chuyển mạch OpenFlow .................................... 52 3.4 Kết luận chương ................................................................................. 55 Chương 4. Thiết kế b điều khiển chuyển mạch ..................................................56 4.1 Giải ph p tiết kiệm năng lượng .......................................................... 56 4.2 Yêu cầu chức năng và phi chức năng ................................................. 57 4.3 Thiết kế phần cứng ............................................................................. 58 4.3.1 Sơ đồ khối thiết kế ....................................................................... 58 4.3.2 Khối điều khiển (Main controller): .............................................. 59 4.3.3 Khối đóng ngắt port (ON/OFF Port Circuit) ................................ 61 4.3.4 Khối đóng ngắt chuyển mạch (ON/OFF Switch Circuit): ........... 62 4.3.5 Kết quả đạt được .......................................................................... 62 4.4 Thiết kế phần mềm ............................................................................. 64 4.4.1 Giao thức OpenFlow .................................................................... 64 4.4.2 Trao đổi gói tin với ộ điều khiển ................................................ 67 4.4.3 Mã nguồn mở LwIP ..................................................................... 68 NETFPGA GROUP-KSTN-ĐTVT-K52 vi ĐỒ ÁN TỐT NGHIỆP 2012 4.4.4 Thiết kế ản tin OpenFlow và kết quả đạt được .......................... 71 4.5 Kết luận chương ................................................................................. 78 Chương 5. Thiết kế khối tiết kiệm năng lượng cho chuyển mạch OpenFlow ...79 5.1 Giải ph p tiết kiệm năng lượng .......................................................... 79 5.2 Thiết kế phần cứng ............................................................................. 81 5.2.1 Làm việc với phần cứng của chuyển mạch OpenFlow ................ 81 5.2.2 Vị trí của khối Clock controller ................................................... 83 5.2.3 Ghép nối với c c khối kh c trong User data path ........................ 86 5.2.4 Thiết kế khối clock controller ...................................................... 88 5.3 Thiết kế phần mềm ............................................................................. 90 5.3.1 Truyền, nhận và xử lý ản tin từ ộ điều khiển ........................... 90 5.3.2 Làm việc với phần mềm của chuyển mạch OpenFlow ................ 91 5.3.3 Xây dựng và triển khai c c chức năng mới .................................. 93 5.4 Kết luận chương ................................................................................. 95 Chương 6. Thực hiện đo đạc v kết quả ...............................................................96 6.1 Cơ sở hạ tầng thiết lập test ed............................................................ 96 6.2 Bộ điều khiển chuyển mạch ............................................................... 99 6.2.1 Thiết lập hệ thống......................................................................... 99 6.2.2 Test hệ thống .............................................................................. 100 6.2.3 Kết quả ....................................................................................... 101 6.3 Chuyển mạch OpenFlow có chức năng tiết kiệm năng lượng ......... 104 6.3.1 Thiết lập hệ thống....................................................................... 104 6.3.2 Test hệ thống .............................................................................. 105 6.3.3 Kết quả ....................................................................................... 105 6.4 Kết luận chương ............................................................................... 105 Kết luận v hướng phát triển của đề t i .............................................................107 TÀI LIỆU THAM KHẢO ....................................................................................108 PHỤ LỤC ...............................................................................................................110 NETFPGA GROUP-KSTN-ĐTVT-K52 vii ĐỒ ÁN TỐT NGHIỆP 2012 Phụ lục 1: Code OpenFlow.c ..................................................................... 110 Phụ lục 2: Code clock_controller.v ........................................................... 114 NETFPGA GROUP-KSTN-ĐTVT-K52 viii ĐỒ ÁN TỐT NGHIỆP 2012 DANH MỤC HÌNH VẼ Hình 1.1 Kiến trúc mạng điều khiển ằng phần mềm ................................................8 Hình 1.2 Ví dụ về tập lệnh của OpenFlow ................................................................10 Hình 2.1 Cấu trúc của FPGA ....................................................................................17 Hình 2.2 Cấu trúc của Slice ......................................................................................18 Hình 2.3 Minh họa bảng LUT ...................................................................................19 Hình 2.4 Minh họa các Flip-Flop ..............................................................................19 Hình 2.5 Design flow ................................................................................................21 Hình 2.6 Giao diện phần mềm ISE ...........................................................................23 Hình 2.7 Cấu trúc của một OpenFlow Switch ..........................................................24 Hình 2.8 Cấu tạo của một flow-entry........................................................................25 Hình 2.9 C c ước khi một flow mới tới bộ chuyển mạch OpenFlow .....................26 Hình 2.10 Nền tảng NetFPGA ..................................................................................27 Hình 2.11 Sơ đồ khối chi tiết các thành phần trong kit NetFPGA ...........................28 Hình 2.12 Cấu trúc đơn giản một dự án với NetFPGA.............................................29 Hình 2.13 Cấu trúc pipeline của các modules ...........................................................29 Hình 2.14 Sơ đồ tổng quát của hệ thống NetFPGA ..................................................30 Hình 2.15 Mô hình Pipeline áp dụng cho việc thiết kế phần cứng mạng .................35 Hình 2.16 Sơ đồ hoạt động của khối Output Port Lookup .......................................36 Hình 3.1 Sơ đồ khối mô hình Elastic Tree ................................................................39 Hình 3.2 Mạng OpenFlow switch với bộ điều khiển mạng NOX ............................41 Hình 4.1 Sơ đồ mô tả vị trí của OSC trong mạng chuyển mạch ...............................57 Hình 4.2 Mạng OSC đơn giản...................................................................................57 Hình 4.3 Sơ đồ của chuyển mạch trước và sau khi có OSC .....................................58 Hình 4.4 Sơ đồ khối cơ ản của OSC .......................................................................59 Hình 4.5 Sơ đồ khối chi tiết của OSC .......................................................................59 Hình 4.6 Sơ đồ nguyên lý mạch OSC .......................................................................63 Hình 4.7 Phần cứng của OpenFlow switch controller ..............................................63 Hình 4.8 Quy trình bắt tay giữa bộ điều khiển và bộ chuyển mạch OpenFlow........68 Hình 4.9 Các giao thức được sử dụng trong lwIP .....................................................70 NETFPGA GROUP-KSTN-ĐTVT-K52 ix ĐỒ ÁN TỐT NGHIỆP 2012 Hình 4.10 Trường link state ......................................................................................73 Hình 4.11 Trường Switch state .................................................................................74 Hình 4.12 Trường Line Card state ............................................................................76 Hình 4.13 Sơ đồ thuật toán của OSC ........................................................................77 Hình 5.1 Sơ đồ hệ thống clock của chuyển mạch OpenFlow ...................................83 Hình 5.2 Sơ đồ vị trí lý tưởng của CC trong nf2_top................................................85 Hình 5.3 Sơ đồ khối CC trong user data path ...........................................................86 Hình 5.4 Sơ đồ ghép nối CC với các khối khác trong user data path .......................87 Hình 5.5 Sơ đồ khối thiết kế của CC ........................................................................88 Hình 5.6 Sơ đồ mạng OpenFlow đơn giản................................................................90 Hình 5.7 Sơ đồ hệ thống bộ điều khiển và bộ chuyển mạch OpenFlow ...................91 Hình 6.1 Sơ đồ hệ thống Testbed cho OSC ..............................................................99 Hình 6.2 Hệ thống Testbed thực tế cho OSC ............................................................99 Hình 6.3 Sơ đồ hệ thống testbed cho chuyển mạch OpenFlow mới .......................104 Hình 6.4 Hệ thống testbed chuyển mạch OpenFlow trong thực tế .........................104 Hình 6.5 Sơ đồ kết nối chuyển mạch - NIC cho hệ thống testbed ..........................105 NETFPGA GROUP-KSTN-ĐTVT-K52 x ĐỒ ÁN TỐT NGHIỆP 2012 DANH MỤC BẢNG BIỂU Bảng 1.1: Công suất tiêu thụ của switch ở các cấu hình khác nhau ...........................5 Bảng 4.1 Bảng tổng hợp công suất của các thành phần ............................................62 Bảng 4.2 So s nh ưu, nhược điểm của một số mã nguồn mở TCP/IP stack.............69 Bảng 4.3 Bản tin OFPT_PORT_MOD .....................................................................72 Bảng 4.4 Ý nghĩa c c trường trong bản tin OFPT_PORT_MOD ............................72 Bảng 4.5 Ý nghĩa c c trường trong bản tin OFPT_SWITCH_MOD .......................74 Bảng 4.6 Bản tin OFPT_SWITCH_MOD ................................................................74 Bảng 4.7 Bản tin OFPT_LINECARD_MOD ...........................................................75 Bảng 4.8 Ý nghĩa c c trường trong bản tin OFPT_LINECARD_MOD ..................75 Bảng 5.1 Các hàm xử lý gói tin.................................................................................93 Bảng 5.2 C c hàm điều khiển phần cứng NetFPGA.................................................94 Bảng 6.1 Bảng năng lượng tiêu thụ của OSC khi không có kết nối nào bị ngắt ....103 Bảng 6.2 Bảng năng lượng tiêu thụ của OSC theo số lượng kết nối bị ngắt ..........103 NETFPGA GROUP-KSTN-ĐTVT-K52 xi ĐỒ ÁN TỐT NGHIỆP 2012 CÁC THUẬT NGỮ VIẾT TẮT Từ viết tắt Thuật ngữ tiếng anh Thuật ngữ tiếng việt CC Clock Controller Bộ điều khiển tín hiệu Clock CLB Configurable Logic Block Khối logic có thể lập trình được DCM Digital Clock Manager Khối quản lý xung đồng hồ DRAM Dynamic Random Access Memory Bộ nhớ truy cập ngẫu nhiên động FPGA Field Programmable Gate Array Mảng cổng khả trình IOB Input Output Block Khối vào ra MAC Media Access Control Điều khiển truy nhập thiết bị NCD Native Circuit Description Phần mở rộng của file thiết kế OF SW OpenFlow Switch Bộ chuyển mạch OpenFlow OSC OpenFlow Switch Controller Mạch điều khiển các bộ chuyển mạch OpenFlow PCF Physical Constraint File Phần mở rộng của file ràng buộc vật lý PCI Peripheral connect PLD Programmable Logic Device Thiết bị logic có thể lập trình SDN Software-Defined Network Mạng điều khiển bằng phần mềm SRAM Static Random Access Memory Bộ nhớ truy cập ngẫu nhiên tĩnh VCD Value Change Dump Phần mở rộng của file mô phỏng Component Inter- Kết nối thành phần ngoại vi NETFPGA GROUP-KSTN-ĐTVT-K52 xii ĐỒ ÁN TỐT NGHIỆP 2012 PHẦN MỞ ĐẦU Trong đồ án này, tác giả sẽ tập trung giải quyết các vấn đề chính sau: Mô tả cấu trúc của chuyển mạch OpenFlow; xây dựng mạng OpenFlow trên nền tảng NetFPGA; các giải pháp tiết kiệm năng lượng cho các bộ chuyển mạch OpenFlow; đo đạc trên thực tế và đ nh gi từng phương ph p. Nội dung của đồ án được chia thành sáu chương, gồm ba phần chính: Phần “Tìm hiểu thực trạng và giải pháp mạng OpenFlow” gồm hai chương:  Chương 1: Nêu thực trạng về vấn đề năng lượng trong các trung tâm dữ liệu và giải pháp sử dụng mạng OpenFlow do Lê Anh Văn thực hiện.  Chương 2: Tìm hiểu một cách tổng quát công nghệ mạch tổ hợp FPGA, về bộ chuyển mạch OpenFlow trên nền tảng phần cứng NetFPGA. Chương này do Nguyễn Duy Linh và Lê Th i Hưng thực hiện. Phần “Nghiên cứu giải pháp tiết kiệm năng lượng trên thực tế” gồm ba chương:  Chương 3: Triển khai hệ thống mạng OpenFlow trên nền tảng NetFPGA trong thực tế phòng thí nghiệm do Lê Th i Hưng và Nguyễn Duy Linh thực hiện.  Chương 4: Thiết kế bộ điều khiển chuyển mạch OpenFlow dựa trên thực tế hệ thống đã triển khai. Chương này do Lê Th i Hưng và Lê Anh Văn thực hiện.  Chương 5: Thiết kế chuyển mạch OpenFlow tiết kiệm năng lượng và thực hiện trên các bộ chuyển mạch thực tế đã triển khai. Phần “Đo đạc, kiểm thử và đánh giá kết quả đạt đươc” gồm một chương:  Chương 6: Triển khai hệ thống mới với các thiết bị đã được tạo ra, đo đạc và đ nh gi c c kết quả trên thực tế phòng thí nghiệm. NETFPGA GROUP-KSTN-ĐTVT-K52 1 ĐỒ ÁN TỐT NGHIỆP CHƯƠNG 1 Chương 1. Vấn đề tiết kiệm năng lượng trong trung tâm dữ liệu và giải pháp sử dụng mạng OpenFlow Sinh viên: Lê Anh Văn Chương này sẽ giải thích nhu cầu tiết kiệm năng lượng cho các trung tâm dữ liệu. Đồng thời, một giải pháp hoàn toàn mới cho khó khăn đó sẽ được đưa ra dựa vào công nghệ mạng mới đang được phát triển rất nhanh chóng trong thời gian gần đây: Đó là mạng điều khiển bằng phần mềm dựa trên giao thức OpenFlow. Các khái niệm, định nghĩa và mô hình chung nhất về mạng này cùng giao thức OpenFlow sẽ giúp người đọc có một cái nhìn tổng quan về mục tiêu mà đồ án hướng tới; cùng với đó là một xu hướng phát triển của mạng trong tương lai. 1.1 Vấn đề tiết kiệm năng lượng cho trung tâm dữ liệu Có thể nói rằng hiện nay các trung tâm dữ liệu đang tiêu tốn một năng lượng khổng lồ để duy trì hoạt động của nó.Theo một nghiên cứu cho thấy rằng chi phí năng lượng chiếm tới 44% chi phí hoạt động của trung tâm dữ liệu. Năng lượng tiêu thụ ở đây ao gồm có năng lượng cho các server, các thiệt bị mạng, cho hệ thống làm lạnh, line-cards, switching fabric, các hệ thống theo dõi và các hệ thống phụ trợ khác. Những tìm hiểu về năng lượng trong mạng trung tâm dưới đây được tham khảo trong bài báo “Energy Aware Network Operations” [2], chỉ đề cập đến mô hình năng lượng tiêu thụ của switch và một chủ đề đang được nhiều nhà phát triển quan tâm đó là c c giải pháp tiết kiệm năng lượng tiêu thụ trong mạng trung tâm dữ liệu. Các switch và router hiện tại không cho ta biết đầy đủ các thông số năng lượng tiêu thụ của chúng. Datasheet của các thiết bị này chỉ cho biết giá trị công suất hoạt động tối đa. Giá trị này không đủ để hiểu chính x c năng lượng tiêu thụ thật của các thiết bị mạng. Năng lượng tiêu thụ thật sự của các switch/router phụ thuộc vào rất nhiều yếu tố như cấu hình của thiết bị và lượng tải mà thiết bị cần xử lý, do đó chỉ dựa vào công suất tiêu thụ tối đa sẽ không thể tính to n chính x c được NETFPGA GROUP-KSTN-ĐTVT-K52 2 ĐỒ ÁN TỐT NGHIỆP CHƯƠNG 1 năng lượng tiêu thụ thật. Cấu hình thiết bị và lưu lượng thông tin đi qua sẽ ảnh hưởng đến công suất tiêu thụ của các thiết bị mạng. Mỗi một switch/router đều chứa nhiều thành phần cấu tạo kh c nhau như: chassis, linecard, TCAM (Ternary Content Addressable Memory), RAM, processor, quạt… Một switch/router đặc trưng gồm một chassis (có thể hiểu là bộ khung của switch) chứa các slot cắm các linecard, mỗi linecard chứa nhiều port (cổng mạng) chính là các cổng giao tiếp của switch/router với các switch/router khác hoặc với các máy tính khác. Việc đo thông số năng lượng tiêu thụ của tất cả các thành phần trong switch một cách toàn diện rất khó để thực hiện. Dưới đây là c c yếu tố quan trọng ảnh hưởng đến công suất tiêu thụ của switch/router:  Công suất tiêu thụ của chassis: Các switch hiệu năng cao chứa một chassis và một số lượng cố định các khe cắm (slot) dùng để cắm c c linecard. Đối với các switch hiệu năng thấp hơn (c c switch phổ thông với 24 cổng trở xuống), c c slot và linecard được gắn cố định, không thể thay đổi. Trong cả hai trường hợp, công suất tiêu thụ cơ ản của chassis là tổng công suất tiêu thụ của một số thành phần khác nhau của switch như processor, quạt, memory…  Số lượng linecard: số lượng cổng của một linecard và tổng lưu lượng thông tin mà nó có thể xử lý là có hạn. Cơ chế cắm và rút các linecard trên các khe slot của switch cho phép các nhà quản lý mạng linh động cắm số lượng linecard cần thiết linh động đ p ứng nhu cầu về tải. Hơn nữa, cơ chế đó cũng đưa ra nhiều lựa chọn cho việc cắm loại linecard phù hợp, ví dụ như cắm một linecard 24 cổng 1G ps để có khả năng xử lý lưu lượng 24Gbps, hay cắm một linecard 4 cổng 10G ps để có khả năng xử lý lưu lượng 40 Gbps.  Số lượng active cổng: thuật ngữ này đề cập tới tổng số cổng trên switch (của tất cả các linecard) ở trạng thái hoạt động (active). Các cổng còn lại trên switch được tắt đi thông qua giao diện câu lệnh hỗ trợ tắt bật đưa ra từ nhà sản xuất.  Công suất xử lý tối đa của một cổng (port capacity) hay tốc độ hoạt động tối đa của một cổng: ta có thể thay đổi thông số công suất xử lý tối đa của mỗi NETFPGA GROUP-KSTN-ĐTVT-K52 3 ĐỒ ÁN TỐT NGHIỆP CHƯƠNG 1 cổng để giới hạn tốc độ xử lý thông tin của cổng đó. Ví dụ, công suất xử lý tối đa của một cổng full-duplex 1Gbps có thể được cấu hình xuống 100Mbps hay 10Mbps. Việc thay đổi công suất xử lý tối đa này sẽ ảnh hưởng đến năng lượng tiêu thụ nói chung của switch. Khi giảm công suất xử lý tối đa, năng lượng tiêu thụ của cổng sẽ giảm, dẫn đến năng lượng tiêu thụ của cả switch nói chung giảm theo.  Hiệu suất sử dụng của cổng (port utilization): thuật ngữ này mô tả thông lượng thật chảy qua một cổng so với công suất xử lý tối đa của cổng đó. Ví dụ trong trường hợp công suất xử lý tối đa của một cổng là 100Mbps, thông lượng dữ liệu đi qua cổng đó là 10M ps, khi đó hiệu suất sử dụng của cổng đó (hay port utilization) là 10%. Phụ thuộc vào hiệu suất sử dụng của cổng mà ta có thể thiết lập các giá trị công suất xử lý tối đa của cổng đó một cách phù hợp. Ví dụ, nếu một cổng phải xử lý 60 M ps lưu lượng, khi đó ta sẽ thiết lập công suất xử lý tối đa của switch là 100 M ps để có hiệu quả sử dụng năng lượng một cách tốt nhất.  TCAM: hầu hết các switch thực hiện việc phân loại packet trên phần cứng và hầu hết các nhà sản xuất sử dụng TCAM để thực hiện chức năng này do thời gian xử lý tìm kiếm của TCAM là rất nhanh. Tuy nhiên, TCAM tiêu thụ một lượng công suất lớn. Ngoài ra, kích thước TCAM trong c c switch cũng kh c nhau.  Firmware: các nhà sản xuất cập nhật firmware cho switch/router theo định kỳ. Các phiên bản firmware kh c nhau cũng có thể ảnh hưởng tới công suất tiêu thụ của switch/router. Ngoài ra, đặc tính lưu lượng thông tin đi qua mỗi cổng cũng có thể ảnh hưởng tới công suất tiêu thụ của cổng đó. Hai đặc tính quan trọng nhất của lưu lượng của một flow là kích thước mỗi gói tin và khoảng thời gian giữa hai gói tin liên tiếp. NETFPGA GROUP-KSTN-ĐTVT-K52 4
- Xem thêm -

Tài liệu liên quan